BibTeX records: R. D. (Shawn) Blanton

download as .bib file

@article{DBLP:journals/corr/abs-2402-19376,
  author       = {Harideep Nair and
                  Prabhu Vellaisamy and
                  Tsung{-}Han Lin and
                  Perry H. Wang and
                  Ronald Shawn Blanton and
                  John Paul Shen},
  title        = {OzMAC: An Energy-Efficient Sparsity-Exploiting Multiply-Accumulate-Unit
                  Design for {DL} Inference},
  journal      = {CoRR},
  volume       = {abs/2402.19376},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.19376},
  doi          = {10.48550/ARXIV.2402.19376},
  eprinttype    = {arXiv},
  eprint       = {2402.19376},
  timestamp    = {Tue, 26 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-19376.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/FangHLDB23,
  author       = {Chenlei Fang and
                  Qicheng Huang and
                  Zeye Liu and
                  Ruizhou Ding and
                  Ronald D. Blanton},
  title        = {Efficient Test Chip Design via Smart Computation},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {28},
  number       = {2},
  pages        = {22:1--22:31},
  year         = {2023},
  url          = {https://doi.org/10.1145/3558393},
  doi          = {10.1145/3558393},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/FangHLDB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiWMB23,
  author       = {Wei Li and
                  Fangzhou Wang and
                  Jos{\'{e}} M. F. Moura and
                  R. D. (Shawn) Blanton},
  title        = {Global Floorplanning via Semidefinite Programming},
  booktitle    = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco,
                  CA, USA, July 9-13, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DAC56929.2023.10247967},
  doi          = {10.1109/DAC56929.2023.10247967},
  timestamp    = {Sun, 24 Sep 2023 13:31:06 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiWMB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/VellaisamyNFTCLLWBS23,
  author       = {Prabhu Vellaisamy and
                  Harideep Nair and
                  Joseph Finn and
                  Manav Trivedi and
                  Albert Chen and
                  Anna Li and
                  Tsung{-}Han Lin and
                  Perry H. Wang and
                  Ronald Shawn Blanton and
                  John Paul Shen},
  title        = {tubGEMM: Energy-Efficient and Sparsity-Effective Temporal-Unary-Binary
                  Based Matrix Multiply Unit},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2023, Foz
                  do Iguacu, Brazil, June 20-23, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISVLSI59464.2023.10238524},
  doi          = {10.1109/ISVLSI59464.2023.10238524},
  timestamp    = {Wed, 13 Sep 2023 08:43:37 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/VellaisamyNFTCLLWBS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mlcad/LiPMB23,
  author       = {Wei Li and
                  Ruben Purdy and
                  Jos{\'{e}} M. F. Moura and
                  R. D. Shawn Blanton},
  title        = {Characterize the ability of GNNs in attacking logic locking},
  booktitle    = {5th {ACM/IEEE} Workshop on Machine Learning for CAD, {MLCAD} 2023,
                  Snowbird, UT, USA, September 10-13, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/MLCAD58807.2023.10299817},
  doi          = {10.1109/MLCAD58807.2023.10299817},
  timestamp    = {Wed, 15 Nov 2023 09:43:46 +0100},
  biburl       = {https://dblp.org/rec/conf/mlcad/LiPMB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/PurdyDB22,
  author       = {Ruben Purdy and
                  Danielle Duvalsaint and
                  R. D. Shawn Blanton},
  title        = {Secuirty Metrics for Logic Circuits},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2022, McLean, VA, USA, June 27-30, 2022},
  pages        = {53--56},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HOST54066.2022.9840239},
  doi          = {10.1109/HOST54066.2022.9840239},
  timestamp    = {Mon, 15 Aug 2022 15:04:52 +0200},
  biburl       = {https://dblp.org/rec/conf/host/PurdyDB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PurdyB22,
  author       = {Ruben Purdy and
                  R. D. Shawn Blanton},
  title        = {Large-Scale Logic-Locking Attacks via Simulation},
  booktitle    = {23rd International Symposium on Quality Electronic Design, {ISQED}
                  2022, Santa Clara, CA, USA, April 6-7, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISQED54688.2022.9806265},
  doi          = {10.1109/ISQED54688.2022.9806265},
  timestamp    = {Mon, 04 Jul 2022 17:06:19 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/PurdyB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiNDMB22,
  author       = {Wei Li and
                  Chris Nigh and
                  Danielle Duvalsaint and
                  Subhasish Mitra and
                  Ronald D. Blanton},
  title        = {{PEPR:} Pseudo-Exhaustive Physically-Aware Region Testing},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA,
                  September 23-30, 2022},
  pages        = {314--323},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ITC50671.2022.00083},
  doi          = {10.1109/ITC50671.2022.00083},
  timestamp    = {Thu, 05 Jan 2023 13:13:27 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LiNDMB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/PagliariniSMBPM21,
  author       = {Samuel Pagliarini and
                  Joseph Sweeney and
                  Ken Mai and
                  R. D. Shawn Blanton and
                  Larry T. Pileggi and
                  Subhasish Mitra},
  title        = {Split-Chip Design to Prevent {IP} Reverse Engineering},
  journal      = {{IEEE} Des. Test},
  volume       = {38},
  number       = {4},
  pages        = {109--118},
  year         = {2021},
  url          = {https://doi.org/10.1109/MDAT.2020.3033255},
  doi          = {10.1109/MDAT.2020.3033255},
  timestamp    = {Thu, 16 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/PagliariniSMBPM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DuvalsaintB21,
  author       = {Danielle Duvalsaint and
                  R. D. Shawn Blanton},
  title        = {Characterizing Corruptibility of Logic Locks using {ATPG}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA,
                  October 10-15, 2021},
  pages        = {213--222},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ITC50571.2021.00030},
  doi          = {10.1109/ITC50571.2021.00030},
  timestamp    = {Mon, 29 Nov 2021 13:19:22 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DuvalsaintB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/NighBB21,
  author       = {Chris Nigh and
                  Gaurav Bhargava and
                  Ronald D. Blanton},
  title        = {{AAA:} Automated, On-ATE {AI} Debug of Scan Chain Failures},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA,
                  October 10-15, 2021},
  pages        = {314--318},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ITC50571.2021.00044},
  doi          = {10.1109/ITC50571.2021.00044},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/NighBB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/FangHB21,
  author       = {Chenlei Fang and
                  Qicheng Huang and
                  R. D. Shawn Blanton},
  title        = {Memory-Efficient Adaptive Test Pattern Reordering for Accurate Diagnosis},
  booktitle    = {39th {IEEE} {VLSI} Test Symposium, {VTS} 2021, San Diego, CA, USA,
                  April 25-28, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/VTS50974.2021.9441003},
  doi          = {10.1109/VTS50974.2021.9441003},
  timestamp    = {Wed, 09 Jun 2021 08:59:55 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/FangHB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jossw/SweeneyPBP20,
  author       = {Joseph Sweeney and
                  Ruben Purdy and
                  Ronald D. Blanton and
                  Lawrence T. Pileggi},
  title        = {CircuitGraph: {A} Python package for Boolean circuits},
  journal      = {J. Open Source Softw.},
  volume       = {5},
  number       = {55},
  pages        = {2646},
  year         = {2020},
  url          = {https://doi.org/10.21105/joss.02646},
  doi          = {10.21105/JOSS.02646},
  timestamp    = {Tue, 16 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jossw/SweeneyPBP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tii/NguyenLBL20,
  author       = {Cuong Manh Nguyen and
                  Xin Li and
                  Ronald DeShawn Blanton and
                  Xiang Li},
  title        = {Partial Bayesian Co-training for Virtual Metrology},
  journal      = {{IEEE} Trans. Ind. Informatics},
  volume       = {16},
  number       = {5},
  pages        = {2937--2945},
  year         = {2020},
  url          = {https://doi.org/10.1109/TII.2019.2903718},
  doi          = {10.1109/TII.2019.2903718},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tii/NguyenLBL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/HuangFMB20,
  author       = {Qicheng Huang and
                  Chenlei Fang and
                  Soumya Mittal and
                  R. D. (Shawn) Blanton},
  title        = {Towards Smarter Diagnosis: {A} Learning-based Diagnostic Outcome Previewer},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {25},
  number       = {5},
  pages        = {43:1--43:20},
  year         = {2020},
  url          = {https://doi.org/10.1145/3398267},
  doi          = {10.1145/3398267},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/HuangFMB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenZMBMS20,
  author       = {Jianqi Chen and
                  Monir Zaman and
                  Yiorgos Makris and
                  R. D. Shawn Blanton and
                  Subhasish Mitra and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer},
  title        = {{DECOY:} DEflection-Driven HLS-Based Computation Partitioning for
                  Obfuscating Intellectual PropertY},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218519},
  doi          = {10.1109/DAC18072.2020.9218519},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ChenZMBMS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/etfa/NguyenLBL20,
  author       = {Cuong Nguyen and
                  Xin Li and
                  R. D. Shawn Blanton and
                  Xiang Li},
  title        = {Efficient Classification via Partial Co-Training for Virtual Metrology},
  booktitle    = {25th {IEEE} International Conference on Emerging Technologies and
                  Factory Automation, {ETFA} 2020, Vienna, Austria, September 8-11,
                  2020},
  pages        = {753--760},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ETFA46521.2020.9212012},
  doi          = {10.1109/ETFA46521.2020.9212012},
  timestamp    = {Mon, 02 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/etfa/NguyenLBL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/FarahmandiSBP20,
  author       = {Farimah Farahmandi and
                  Ozgur Sinanoglu and
                  Ronald D. Blanton and
                  Samuel Pagliarini},
  title        = {Design Obfuscation versus Test},
  booktitle    = {{IEEE} European Test Symposium, {ETS} 2020, Tallinn, Estonia, May
                  25-29, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ETS48528.2020.9131590},
  doi          = {10.1109/ETS48528.2020.9131590},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/FarahmandiSBP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/0001B20,
  author       = {Zeye Liu and
                  R. D. Shawn Blanton},
  title        = {High Defect-Density Yield Learning using Three-Dimensional Logic Test
                  Chips},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC,
                  USA, November 1-6, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC44778.2020.9325244},
  doi          = {10.1109/ITC44778.2020.9325244},
  timestamp    = {Mon, 25 Jan 2021 08:44:58 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/0001B20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HuangFB20,
  author       = {Qicheng Huang and
                  Chenlei Fang and
                  R. D. Shawn Blanton},
  title        = {{LAIDAR:} Learning for Accuracy and Ideal Diagnostic Resolution},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC,
                  USA, November 1-6, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC44778.2020.9325212},
  doi          = {10.1109/ITC44778.2020.9325212},
  timestamp    = {Mon, 25 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/HuangFB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HuangFB20a,
  author       = {Qicheng Huang and
                  Chenlei Fang and
                  R. D. Shawn Blanton},
  title        = {Knowledge Transfer for Diagnosis Outcome Preview with Limited Data},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC,
                  USA, November 1-6, 2020},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC44778.2020.9325214},
  doi          = {10.1109/ITC44778.2020.9325214},
  timestamp    = {Mon, 25 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/HuangFB20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/FangHB20,
  author       = {Chenlei Fang and
                  Qicheng Huang and
                  R. D. Shawn Blanton},
  title        = {Adaptive Test Pattern Reordering for Diagnosis using k-Nearest Neighbors},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2020, Taipei,
                  Taiwan, September 23-25, 2020},
  pages        = {59--64},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC-Asia51099.2020.00022},
  doi          = {10.1109/ITC-ASIA51099.2020.00022},
  timestamp    = {Thu, 22 Oct 2020 12:38:36 +0200},
  biburl       = {https://dblp.org/rec/conf/itc-asia/FangHB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/HuangFB20,
  author       = {Qicheng Huang and
                  Chenlei Fang and
                  R. D. Shawn Blanton},
  title        = {Diagnosis Outcome Prediction on Limited Data via Transferred Random
                  Forest},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2020, Taipei,
                  Taiwan, September 23-25, 2020},
  pages        = {65--70},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC-Asia51099.2020.00023},
  doi          = {10.1109/ITC-ASIA51099.2020.00023},
  timestamp    = {Thu, 22 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc-asia/HuangFB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/JainGRADB20,
  author       = {Ayush Jain and
                  Ujjwal Guin and
                  M. Tanjidur Rahman and
                  Navid Asadizanjani and
                  Danielle Duvalsaint and
                  R. D. Shawn Blanton},
  title        = {Special Session: Novel Attacks on Logic-Locking},
  booktitle    = {38th {IEEE} {VLSI} Test Symposium, {VTS} 2020, San Diego, CA, USA,
                  April 5-8, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VTS48691.2020.9107641},
  doi          = {10.1109/VTS48691.2020.9107641},
  timestamp    = {Mon, 15 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/JainGRADB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MittalB20,
  author       = {Soumya Mittal and
                  R. D. Shawn Blanton},
  title        = {A Deterministic-Statistical Multiple-Defect Diagnosis Methodology},
  booktitle    = {38th {IEEE} {VLSI} Test Symposium, {VTS} 2020, San Diego, CA, USA,
                  April 5-8, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VTS48691.2020.9107603},
  doi          = {10.1109/VTS48691.2020.9107603},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MittalB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2006-06806,
  author       = {Benjamin Tan and
                  Ramesh Karri and
                  Nimisha Limaye and
                  Abhrajit Sengupta and
                  Ozgur Sinanoglu and
                  Md. Moshiur Rahman and
                  Swarup Bhunia and
                  Danielle Duvalsaint and
                  Ronald D. Blanton and
                  Amin Rezaei and
                  Yuanqi Shen and
                  Hai Zhou and
                  Leon Li and
                  Alex Orailoglu and
                  Zhaokun Han and
                  Austin Benedetti and
                  Luciano Brignone and
                  Muhammad Yasin and
                  Jeyavijayan Rajendran and
                  Michael Zuzak and
                  Ankur Srivastava and
                  Ujjwal Guin and
                  Chandan Karfa and
                  Kanad Basu and
                  Vivek V. Menon and
                  Matthew French and
                  Peilin Song and
                  Franco Stellari and
                  Gi{-}Joon Nam and
                  Peter Gadfort and
                  Alric Althoff and
                  Joseph Tostenrude and
                  Saverio Fazzari and
                  Eric Breckenfeld and
                  Kenneth Plaks},
  title        = {Benchmarking at the Frontier of Hardware Security: Lessons from Logic
                  Locking},
  journal      = {CoRR},
  volume       = {abs/2006.06806},
  year         = {2020},
  url          = {https://arxiv.org/abs/2006.06806},
  eprinttype    = {arXiv},
  eprint       = {2006.06806},
  timestamp    = {Wed, 06 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2006-06806.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RenTBT19,
  author       = {Xuanle Ren and
                  Francisco Pimentel Torres and
                  Ronald D. Blanton and
                  V{\'{\i}}tor Grade Tavares},
  title        = {{IC} Protection Against JTAG-Based Attacks},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {1},
  pages        = {149--162},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2802866},
  doi          = {10.1109/TCAD.2018.2802866},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RenTBT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BecklerB19,
  author       = {Matthew Layne Beckler and
                  Ronald D. Blanton},
  title        = {On-Chip Diagnosis of Generalized Delay Failures Using Compact Fault
                  Dictionaries},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {2},
  pages        = {322--334},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2803621},
  doi          = {10.1109/TCAD.2018.2803621},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BecklerB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DingLCMB19,
  author       = {Ruizhou Ding and
                  Zeye Liu and
                  Ting{-}Wu Chin and
                  Diana Marculescu and
                  R. D. (Shawn) Blanton},
  title        = {FLightNNs: Lightweight Quantized Deep Neural Networks for Fast and
                  Accurate Inference},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {200},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317828},
  doi          = {10.1145/3316781.3317828},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DingLCMB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/MittalB19,
  author       = {Soumya Mittal and
                  R. D. Shawn Blanton},
  title        = {LearnX: {A} Hybrid Deterministic-Statistical Defect Diagnosis Methodology},
  booktitle    = {24th {IEEE} European Test Symposium, {ETS} 2019, Baden-Baden, Germany,
                  May 27-31, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ETS.2019.8791512},
  doi          = {10.1109/ETS.2019.8791512},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/MittalB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/HuangFLDB19,
  author       = {Qicheng Huang and
                  Chenlei Fang and
                  Zeye Liu and
                  Ruizhou Ding and
                  R. D. Shawn Blanton},
  title        = {{IPSA:} Integer Programming via Sparse Approximation for Efficient
                  Test-Chip Design},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {11--19},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00011},
  doi          = {10.1109/ICCD46524.2019.00011},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/HuangFLDB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DuvalsaintJNB19,
  author       = {Danielle Duvalsaint and
                  Xiaoxiao Jin and
                  Benjamin Niewenhuis and
                  R. D. (Shawn) Blanton},
  title        = {Characterization of Locked Combinational Circuits via {ATPG}},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000130},
  doi          = {10.1109/ITC44170.2019.9000130},
  timestamp    = {Mon, 24 Feb 2020 17:28:46 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DuvalsaintJNB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiuHFB19,
  author       = {Zeye Liu and
                  Qicheng Huang and
                  Chenlei Fang and
                  R. D. (Shawn) Blanton},
  title        = {Improving Test Chip Design Efficiency via Machine Learning},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000131},
  doi          = {10.1109/ITC44170.2019.9000131},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LiuHFB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/DuvalsaintLRB19,
  author       = {Danielle Duvalsaint and
                  Zeye Liu and
                  Ananya Ravikumar and
                  Ronald D. Blanton},
  title        = {Characterization of Locked Sequential Circuits via {ATPG}},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2019, Tokyo,
                  Japan, September 3-5, 2019},
  pages        = {97--102},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC-Asia.2019.00030},
  doi          = {10.1109/ITC-ASIA.2019.00030},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc-asia/DuvalsaintLRB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/FangHMB19,
  author       = {Chenlei Fang and
                  Qicheng Huang and
                  Soumya Mittal and
                  R. D. Shawn Blanton},
  title        = {Diagnosis Outcome Preview through Learning},
  booktitle    = {37th {IEEE} {VLSI} Test Symposium, {VTS} 2019, Monterey, CA, USA,
                  April 23-25, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VTS.2019.8758642},
  doi          = {10.1109/VTS.2019.8758642},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/FangHMB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/NiewenhuisRLB19,
  author       = {Ben Niewenhuis and
                  Balaji Ravikumar and
                  Zeye Liu and
                  R. D. Shawn Blanton},
  title        = {Path Delay Test of the Carnegie Mellon Logic Characterization Vehicle},
  booktitle    = {37th {IEEE} {VLSI} Test Symposium, {VTS} 2019, Monterey, CA, USA,
                  April 23-25, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VTS.2019.8758651},
  doi          = {10.1109/VTS.2019.8758651},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/NiewenhuisRLB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1904-02835,
  author       = {Ruizhou Ding and
                  Zeye Liu and
                  Ting{-}Wu Chin and
                  Diana Marculescu and
                  Ronald D. Blanton},
  title        = {FLightNNs: Lightweight Quantized Deep Neural Networks for Fast and
                  Accurate Inference},
  journal      = {CoRR},
  volume       = {abs/1904.02835},
  year         = {2019},
  url          = {http://arxiv.org/abs/1904.02835},
  eprinttype    = {arXiv},
  eprint       = {1904.02835},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1904-02835.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XueLB18,
  author       = {Yang Xue and
                  Xin Li and
                  Ronald D. Blanton},
  title        = {Improving Diagnostic Resolution of Failing ICs Through Learning},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {6},
  pages        = {1288--1297},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2016.2611499},
  doi          = {10.1109/TCAD.2016.2611499},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/XueLB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/DingLBM18,
  author       = {Ruizhou Ding and
                  Zeye Liu and
                  R. D. (Shawn) Blanton and
                  Diana Marculescu},
  title        = {Lightening the Load with Highly Accurate Storage- and Energy-Efficient
                  LightNNs},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {11},
  number       = {3},
  pages        = {17:1--17:24},
  year         = {2018},
  url          = {https://doi.org/10.1145/3270689},
  doi          = {10.1145/3270689},
  timestamp    = {Fri, 24 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/DingLBM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DingLBM18,
  author       = {Ruizhou Ding and
                  Zeye Liu and
                  R. D. (Shawn) Blanton and
                  Diana Marculescu},
  editor       = {Youngsoo Shin},
  title        = {Quantized deep neural networks for energy efficient hardware-based
                  inference},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297274},
  doi          = {10.1109/ASPDAC.2018.8297274},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DingLBM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bigdataconf/GoelLB18,
  author       = {Abhinav Goel and
                  Zeye Liu and
                  Ronald D. Blanton},
  editor       = {Naoki Abe and
                  Huan Liu and
                  Calton Pu and
                  Xiaohua Hu and
                  Nesreen K. Ahmed and
                  Mu Qiao and
                  Yang Song and
                  Donald Kossmann and
                  Bing Liu and
                  Kisung Lee and
                  Jiliang Tang and
                  Jingrui He and
                  Jeffrey S. Saltz},
  title        = {CompactNet: High Accuracy Deep Neural Network Optimized for On-Chip
                  Implementation},
  booktitle    = {{IEEE} International Conference on Big Data {(IEEE} BigData 2018),
                  Seattle, WA, USA, December 10-13, 2018},
  pages        = {4723--4729},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/BigData.2018.8622329},
  doi          = {10.1109/BIGDATA.2018.8622329},
  timestamp    = {Fri, 19 Nov 2021 16:08:20 +0100},
  biburl       = {https://dblp.org/rec/conf/bigdataconf/GoelLB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/RenBT18,
  author       = {Xuanle Ren and
                  R. D. (Shawn) Blanton and
                  V{\'{\i}}tor Grade Tavares},
  title        = {Detection of {IJTAG} attacks using LDPC-based feature reduction and
                  machine learning},
  booktitle    = {23rd {IEEE} European Test Symposium, {ETS} 2018, Bremen, Germany,
                  May 28 - June 1, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ETS.2018.8400684},
  doi          = {10.1109/ETS.2018.8400684},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/RenBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiuB18,
  author       = {Zeye Liu and
                  Ronald D. Blanton},
  title        = {Back-End Layout Reflection for Test Chip Design},
  booktitle    = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018,
                  Orlando, FL, USA, October 7-10, 2018},
  pages        = {456--463},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ICCD.2018.00074},
  doi          = {10.1109/ICCD.2018.00074},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiuB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/HuangFMB18,
  author       = {Qicheng Huang and
                  Chenlei Fang and
                  Soumya Mittal and
                  R. D. Shawn Blanton},
  title        = {Improving Diagnosis Efficiency via Machine Learning},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA,
                  October 29 - Nov. 1, 2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TEST.2018.8624884},
  doi          = {10.1109/TEST.2018.8624884},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/HuangFMB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MittalB18,
  author       = {Soumya Mittal and
                  R. D. (Shawn) Blanton},
  title        = {{NOIDA:} Noise-resistant Intra-cell Diagnosis},
  booktitle    = {36th {IEEE} {VLSI} Test Symposium, {VTS} 2018, San Francisco, CA,
                  USA, April 22-25, 2018},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/VTS.2018.8368664},
  doi          = {10.1109/VTS.2018.8368664},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MittalB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1802-02178,
  author       = {Ruizhou Ding and
                  Zeye Liu and
                  Rongye Shi and
                  Diana Marculescu and
                  R. D. (Shawn) Blanton},
  title        = {LightNN: Filling the Gap between Conventional Deep Neural Networks
                  and Binarized Networks},
  journal      = {CoRR},
  volume       = {abs/1802.02178},
  year         = {2018},
  url          = {http://arxiv.org/abs/1802.02178},
  eprinttype    = {arXiv},
  eprint       = {1802.02178},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1802-02178.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BlantonWXNXL17,
  author       = {Ronald Shawn Blanton and
                  Fa Wang and
                  Cheng Xue and
                  Pranab K. Nag and
                  Yang Xue and
                  Xin Li},
  title        = {{DFM} Evaluation Using {IC} Diagnosis Data},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {3},
  pages        = {463--474},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2587283},
  doi          = {10.1109/TCAD.2016.2587283},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BlantonWXNXL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/MittalB17,
  author       = {Soumya Mittal and
                  R. D. (Shawn) Blanton},
  title        = {{PADLOC:} Physically-Aware Defect Localization and Characterization},
  booktitle    = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan,
                  November 27-30, 2017},
  pages        = {212--218},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ATS.2017.48},
  doi          = {10.1109/ATS.2017.48},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/MittalB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/etfa/NguyenLBL17,
  author       = {Cuong Nguyen and
                  Xin Li and
                  Ronald Shawn Blanton and
                  Xiang Li},
  title        = {Partial co-training for virtual metrology},
  booktitle    = {22nd {IEEE} International Conference on Emerging Technologies and
                  Factory Automation, {ETFA} 2017, Limassol, Cyprus, September 12-15,
                  2017},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ETFA.2017.8247660},
  doi          = {10.1109/ETFA.2017.8247660},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/etfa/NguyenLBL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/NiewenhuisMB17,
  author       = {Ben Niewenhuis and
                  Soumya Mittal and
                  R. D. (Shawn) Blanton},
  title        = {Multiple-defect diagnosis for Logic Characterization Vehicles},
  booktitle    = {22nd {IEEE} European Test Symposium, {ETS} 2017, Limassol, Cyprus,
                  May 22-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ETS.2017.7968231},
  doi          = {10.1109/ETS.2017.7968231},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/NiewenhuisMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DingLSMB17,
  author       = {Ruizhou Ding and
                  Zeye Liu and
                  Rongye Shi and
                  Diana Marculescu and
                  R. D. (Shawn) Blanton},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {LightNN: Filling the Gap between Conventional Deep Neural Networks
                  and Binarized Networks},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {35--40},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060465},
  doi          = {10.1145/3060403.3060465},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DingLSMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/LinBT17,
  author       = {Xiang Lin and
                  R. D. (Shawn) Blanton and
                  Donald E. Thomas},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Random Forest Architectures on {FPGA} for Multiple Applications},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {415--418},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060416},
  doi          = {10.1145/3060403.3060416},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/LinBT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BecklerB17,
  author       = {Matthew Beckler and
                  Ronald D. Blanton},
  title        = {Fault simulation acceleration for {TRAX} dictionary construction using
                  GPUs},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX,
                  USA, October 31 - Nov. 2, 2017},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/TEST.2017.8242078},
  doi          = {10.1109/TEST.2017.8242078},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/BecklerB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiuFB17,
  author       = {Zeye Liu and
                  Phillip Fynan and
                  Ronald D. Blanton},
  title        = {Front-end layout reflection for test chip design},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX,
                  USA, October 31 - Nov. 2, 2017},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/TEST.2017.8242041},
  doi          = {10.1109/TEST.2017.8242041},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LiuFB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/BecklerB17,
  author       = {Matthew Beckler and
                  R. D. Shawn Blanton},
  title        = {GPU-accelerated fault dictionary generation for the {TRAX} fault model},
  booktitle    = {International Test Conference in Asia, ITC-Asia 2017, Taipei, Taiwan,
                  September 13-15, 2017},
  pages        = {34--39},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ITC-ASIA.2017.8097107},
  doi          = {10.1109/ITC-ASIA.2017.8097107},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/itc-asia/BecklerB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/XueB17,
  author       = {Cheng Xue and
                  R. D. (Shawn) Blanton},
  title        = {Test-set reordering for improving diagnosability},
  booktitle    = {35th {IEEE} {VLSI} Test Symposium, {VTS} 2017, Las Vegas, NV, USA,
                  April 9-12, 2017},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/VTS.2017.7928926},
  doi          = {10.1109/VTS.2017.7928926},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/XueB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BlantonY16,
  author       = {R. D. Shawn Blanton and
                  David Yeh},
  title        = {Test: Wisdom From the Giants, Visions for the Future - Part 2},
  journal      = {{IEEE} Des. Test},
  volume       = {33},
  number       = {1},
  pages        = {77--84},
  year         = {2016},
  url          = {https://doi.org/10.1109/MDAT.2015.2497602},
  doi          = {10.1109/MDAT.2015.2497602},
  timestamp    = {Mon, 02 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BlantonY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/WangB16,
  author       = {Hongfei Wang and
                  R. D. (Shawn) Blanton},
  title        = {Ensemble Reduction via Logic Minimization},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {21},
  number       = {4},
  pages        = {67:1--67:17},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897515},
  doi          = {10.1145/2897515},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/WangB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiuNMB16,
  author       = {Zeye Liu and
                  Ben Niewenhuis and
                  Soumya Mittal and
                  R. D. (Shawn) Blanton},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {Achieving 100{\%} cell-aware coverage by design},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {109--114},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459289/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiuNMB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/RenBT16,
  author       = {Xuanle Ren and
                  Ronald D. Blanton and
                  V{\'{\i}}tor Grade Tavares},
  title        = {A Learning-Based Approach to Secure {JTAG} Against Unseen Scan-Based
                  Attacks},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh,
                  PA, USA, July 11-13, 2016},
  pages        = {541--546},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISVLSI.2016.107},
  doi          = {10.1109/ISVLSI.2016.107},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/RenBT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/FynanLNMSB16,
  author       = {Phillip Fynan and
                  Zeye Liu and
                  Benjamin Niewenhuis and
                  Soumya Mittal and
                  Marcin Strajwas and
                  R. D. (Shawn) Blanton},
  title        = {Logic characterization vehicle design reflection via layout rewiring},
  booktitle    = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth,
                  TX, USA, November 15-17, 2016},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/TEST.2016.7805849},
  doi          = {10.1109/TEST.2016.7805849},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/FynanLNMSB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LimX0BA16,
  author       = {Carlston Lim and
                  Yang Xue and
                  Xin Li and
                  Ronald D. Blanton and
                  M. Enamul Amyeen},
  title        = {Diagnostic resolution improvement through learning-guided physical
                  failure analysis},
  booktitle    = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth,
                  TX, USA, November 15-17, 2016},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/TEST.2016.7805824},
  doi          = {10.1109/TEST.2016.7805824},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/LimX0BA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/MittalLNB16,
  author       = {Soumya Mittal and
                  Zeye Liu and
                  Ben Niewenhuis and
                  R. D. (Shawn) Blanton},
  title        = {Test chip design for optimal cell-aware diagnosability},
  booktitle    = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth,
                  TX, USA, November 15-17, 2016},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/TEST.2016.7805850},
  doi          = {10.1109/TEST.2016.7805850},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/MittalLNB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/TamB15,
  author       = {Wing Chiu Jason Tam and
                  Ronald Shawn Blanton},
  title        = {{LASIC:} Layout Analysis for Systematic IC-Defect Identification Using
                  Clustering},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {8},
  pages        = {1278--1290},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2015.2406854},
  doi          = {10.1109/TCAD.2015.2406854},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/TamB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RenTB15,
  author       = {Xuanle Ren and
                  V{\'{\i}}tor Grade Tavares and
                  R. D. (Shawn) Blanton},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Detection of illegitimate access to {JTAG} via statistical learning
                  in chip},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {109--114},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755777},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/RenTB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BlantonLMMMPST15,
  author       = {Ronald D. Blanton and
                  Xin Li and
                  Ken Mai and
                  Diana Marculescu and
                  Radu Marculescu and
                  Jeyanandh Paramesh and
                  Jeff G. Schneider and
                  Donald E. Thomas},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {Statistical Learning in Chip {(SLIC)}},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {664--669},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372633},
  doi          = {10.1109/ICCAD.2015.7372633},
  timestamp    = {Mon, 26 Jun 2023 16:43:56 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/BlantonLMMMPST15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/XueB15,
  author       = {Cheng Xue and
                  R. D. (Shawn) Blanton},
  title        = {A one-pass test-selection method for maximizing test coverage},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {621--628},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357173},
  doi          = {10.1109/ICCD.2015.7357173},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/XueB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BlantonNL15,
  author       = {R. D. (Shawn) Blanton and
                  Benjamin Niewenhuis and
                  Zeye (Dexter) Liu},
  title        = {Design reflection for optimal test-chip implementation},
  booktitle    = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA,
                  USA, October 6-8, 2015},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/TEST.2015.7342379},
  doi          = {10.1109/TEST.2015.7342379},
  timestamp    = {Mon, 24 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BlantonNL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BarraganLABSS15,
  author       = {Manuel J. Barrag{\'{a}}n and
                  Gildas L{\'{e}}ger and
                  Florence Aza{\"{\i}}s and
                  Ronald D. Blanton and
                  Adit D. Singh and
                  Stephen Sunter},
  title        = {Special session: Hot topics: Statistical test methods},
  booktitle    = {33rd {IEEE} {VLSI} Test Symposium, {VTS} 2015, Napa, CA, USA, April
                  27-29, 2015},
  pages        = {1--2},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/VTS.2015.7116265},
  doi          = {10.1109/VTS.2015.7116265},
  timestamp    = {Wed, 28 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/BarraganLABSS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/NiewenhuisB15,
  author       = {Ben Niewenhuis and
                  Ronald D. Blanton},
  title        = {Efficient built-in self test of regular logic characterization vehicles},
  booktitle    = {33rd {IEEE} {VLSI} Test Symposium, {VTS} 2015, Napa, CA, USA, April
                  27-29, 2015},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/VTS.2015.7116303},
  doi          = {10.1109/VTS.2015.7116303},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/NiewenhuisB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/RenMB15,
  author       = {Xuanle Ren and
                  Mitchell Martin and
                  Ronald D. Blanton},
  title        = {Improving accuracy of on-chip diagnosis via incremental learning},
  booktitle    = {33rd {IEEE} {VLSI} Test Symposium, {VTS} 2015, Napa, CA, USA, April
                  27-29, 2015},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/VTS.2015.7116280},
  doi          = {10.1109/VTS.2015.7116280},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/RenMB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/TamB14,
  author       = {Wing Chiu Tam and
                  R. D. (Shawn) Blanton},
  title        = {Design-for-Manufacturability Assessment for Integrated Circuits Using
                  {RADAR}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {10},
  pages        = {1559--1572},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2336216},
  doi          = {10.1109/TCAD.2014.2336216},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/TamB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/BiswasWB14,
  author       = {Sounil Biswas and
                  Hongfei Wang and
                  R. D. (Shawn) Blanton},
  title        = {Reducing test cost of integrated, heterogeneous systems using pass-fail
                  test data analysis},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {19},
  number       = {2},
  pages        = {20:1--20:23},
  year         = {2014},
  url          = {https://doi.org/10.1145/2566666},
  doi          = {10.1145/2566666},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/BiswasWB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/XueB14,
  author       = {Cheng Xue and
                  R. D. (Shawn) Blanton},
  title        = {Predicting {IC} Defect Level Using Diagnosis},
  booktitle    = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November
                  16-19, 2014},
  pages        = {113--118},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ATS.2014.31},
  doi          = {10.1109/ATS.2014.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/XueB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/PorcheB14,
  author       = {John A. Porche and
                  R. D. (Shawn) Blanton},
  title        = {Physically-Aware Diagnostic Resolution},
  booktitle    = {23rd {IEEE} Asian Test Symposium, {ATS} 2014, Hangzhou, China, November
                  16-19, 2014},
  pages        = {206--211},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ATS.2014.46},
  doi          = {10.1109/ATS.2014.46},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/PorcheB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isicir/0001BGT14,
  author       = {Xin Li and
                  Ronald Shawn Blanton and
                  Pulkit Grover and
                  Donald E. Thomas},
  title        = {Ultra-low-power biomedical circuit design and optimization: Catching
                  the don't cares},
  booktitle    = {2014 International Symposium on Integrated Circuits (ISIC), Singapore,
                  December 10-12, 2014},
  pages        = {115--118},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISICIR.2014.7029551},
  doi          = {10.1109/ISICIR.2014.7029551},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/isicir/0001BGT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isicir/BlantonLMMMPST14,
  author       = {Ronald D. Blanton and
                  Xin Li and
                  Ken Mai and
                  Diana Marculescu and
                  Radu Marculescu and
                  Jeyanandh Paramesh and
                  Jeff G. Schneider and
                  Donald E. Thomas},
  title        = {{SLIC:} Statistical learning in chip},
  booktitle    = {2014 International Symposium on Integrated Circuits (ISIC), Singapore,
                  December 10-12, 2014},
  pages        = {119--123},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISICIR.2014.7029574},
  doi          = {10.1109/ISICIR.2014.7029574},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isicir/BlantonLMMMPST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BlantonNT14,
  author       = {Ronald D. Blanton and
                  Ben Niewenhuis and
                  Carl Taylor},
  title        = {Logic characterization vehicle design for maximal information extraction
                  for yield learning},
  booktitle    = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA,
                  October 20-23, 2014},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/TEST.2014.7035345},
  doi          = {10.1109/TEST.2014.7035345},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BlantonNT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ZhangLBSCB14,
  author       = {Shanghang Zhang and
                  Xin Li and
                  Ronald D. Blanton and
                  Jos{\'{e}} Machado da Silva and
                  John M. Carulli Jr. and
                  Kenneth M. Butler},
  title        = {Bayesian model fusion: Enabling test cost reduction of analog/RF circuits
                  via wafer-level spatial variation modeling},
  booktitle    = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA,
                  October 20-23, 2014},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/TEST.2014.7035328},
  doi          = {10.1109/TEST.2014.7035328},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ZhangLBSCB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BlantonWXNXL13,
  author       = {Ronald D. Blanton and
                  Fa Wang and
                  Cheng Xue and
                  Pranab K. Nag and
                  Yang Xue and
                  Xin Li},
  editor       = {J{\"{o}}rg Henkel},
  title        = {{DREAMS:} {DFM} rule EvAluation using manufactured silicon},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  ICCAD'13, San Jose, CA, USA, November 18-21, 2013},
  pages        = {99--106},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCAD.2013.6691104},
  doi          = {10.1109/ICCAD.2013.6691104},
  timestamp    = {Mon, 19 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/BlantonWXNXL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/NiewenhuisBBM13,
  author       = {Ben Niewenhuis and
                  Ronald D. Blanton and
                  Mudit Bhargava and
                  Ken Mai},
  title        = {{SCAN-PUF:} {A} low overhead Physically Unclonable Function from scan
                  chain power-up states},
  booktitle    = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA,
                  USA, September 6-13, 2013},
  pages        = {1--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/TEST.2013.6651904},
  doi          = {10.1109/TEST.2013.6651904},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/NiewenhuisBBM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/XuePLB13,
  author       = {Yang Xue and
                  Osei Poku and
                  Xin Li and
                  Ronald D. Blanton},
  title        = {{PADRE:} Physically-Aware Diagnostic Resolution Enhancement},
  booktitle    = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA,
                  USA, September 6-13, 2013},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/TEST.2013.6651899},
  doi          = {10.1109/TEST.2013.6651899},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/XuePLB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/DworakBFHKMPSX13,
  author       = {Jennifer Dworak and
                  Ronald Shawn Blanton and
                  Masahiro Fujita and
                  Kazumi Hatayama and
                  Naghmeh Karimi and
                  Michail Maniatakos and
                  Antonis M. Paschalis and
                  Adit D. Singh and
                  Tian Xia},
  title        = {Special session 4B: Elevator talks},
  booktitle    = {31st {IEEE} {VLSI} Test Symposium, {VTS} 2013, Berkeley, CA, USA,
                  April 29 - May 2, 2013},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/VTS.2013.6548899},
  doi          = {10.1109/VTS.2013.6548899},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/DworakBFHKMPSX13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BlantonTYNP12,
  author       = {R. D. (Shawn) Blanton and
                  Wing Chiu Tam and
                  Xiaochun Yu and
                  Jeffrey E. Nelson and
                  Osei Poku},
  title        = {Yield Learning Through Physically Aware Diagnosis of IC-Failure Populations},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {29},
  number       = {1},
  pages        = {36--47},
  year         = {2012},
  url          = {https://doi.org/10.1109/MDT.2011.2178587},
  doi          = {10.1109/MDT.2011.2178587},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BlantonTYNP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/TamB12,
  author       = {Wing Chiu Tam and
                  Ronald D. Blanton},
  title        = {Physically-Aware Analysis of Systematic Defects in Integrated Circuits},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {29},
  number       = {5},
  pages        = {81--93},
  year         = {2012},
  url          = {https://doi.org/10.1109/MDT.2012.2211093},
  doi          = {10.1109/MDT.2012.2211093},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/TamB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LinPBNLI12,
  author       = {Yen{-}Tzu Lin and
                  Osei Poku and
                  R. D. (Shawn) Blanton and
                  Phil Nigh and
                  Peter Lloyd and
                  Vikram Iyengar},
  title        = {Physically-Aware N-Detect Test},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {2},
  pages        = {308--321},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2011.2168526},
  doi          = {10.1109/TCAD.2011.2168526},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LinPBNLI12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/TamB12,
  author       = {Wing Chiu Tam and
                  R. D. (Shawn) Blanton},
  title        = {{SLIDER:} Simulation of Layout-Injected Defects for Electrical Responses},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {6},
  pages        = {918--929},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2012.2184108},
  doi          = {10.1109/TCAD.2012.2184108},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/TamB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YuB12,
  author       = {Xiaochun Yu and
                  R. D. (Shawn) Blanton},
  title        = {Diagnosis-Assisted Adaptive Test},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {9},
  pages        = {1405--1416},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2012.2193580},
  doi          = {10.1109/TCAD.2012.2193580},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YuB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YuB12a,
  author       = {Xiaochun Yu and
                  Ronald D. Blanton},
  title        = {Improving Diagnosis Through Failing Behavior Identification},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {10},
  pages        = {1614--1625},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2012.2196278},
  doi          = {10.1109/TCAD.2012.2196278},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YuB12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangPYLKB12,
  author       = {Hongfei Wang and
                  Osei Poku and
                  Xiaochun Yu and
                  Sizhe Liu and
                  Ibrahima Komara and
                  Ronald D. Blanton},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Test-data volume optimization for diagnosis},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {567--572},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228462},
  doi          = {10.1145/2228360.2228462},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangPYLKB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BecklerB12,
  author       = {Matthew Beckler and
                  R. D. (Shawn) Blanton},
  title        = {On-chip diagnosis for early-life and wear-out failures},
  booktitle    = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA,
                  USA, November 5-8, 2012},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/TEST.2012.6401580},
  doi          = {10.1109/TEST.2012.6401580},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BecklerB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BiswasB11,
  author       = {Sounil Biswas and
                  Ronald D. Blanton},
  title        = {Reducing Test Execution Cost of Integrated, Heterogeneous Systems
                  Using Continuous Test Data},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {1},
  pages        = {148--158},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2010.2066630},
  doi          = {10.1109/TCAD.2010.2066630},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BiswasB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LinB11,
  author       = {Yen{-}Tzu Lin and
                  R. D. (Shawn) Blanton},
  title        = {{METER:} Measuring Test Effectiveness Regionally},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {7},
  pages        = {1058--1071},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2011.2113670},
  doi          = {10.1109/TCAD.2011.2113670},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LinB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhangLLARB11,
  author       = {Wangyang Zhang and
                  Xin Li and
                  Frank Liu and
                  Emrah Acar and
                  Rob A. Rutenbar and
                  Ronald D. Blanton},
  title        = {Virtual Probe: {A} Statistical Framework for Low-Cost Silicon Characterization
                  of Nanoscale Integrated Circuits},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {12},
  pages        = {1814--1827},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2011.2164536},
  doi          = {10.1109/TCAD.2011.2164536},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhangLLARB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TamB11,
  author       = {Wing Chiu Tam and
                  R. D. (Shawn) Blanton},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {To {DFM} or not to DFM?},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {65--70},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024740},
  doi          = {10.1145/2024724.2024740},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TamB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuB11,
  author       = {Xiaochun Yu and
                  R. D. (Shawn) Blanton},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Statistical defect-detection analysis of test sets using readily-available
                  tester data},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {768--773},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105416},
  doi          = {10.1109/ICCAD.2011.6105416},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/TamB11,
  author       = {Wing Chiu Tam and
                  R. D. (Shawn) Blanton},
  editor       = {Bill Eklow and
                  R. D. (Shawn) Blanton},
  title        = {Physically-aware analysis of systematic defects in integrated circuits},
  booktitle    = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA,
                  USA, September 20-22, 2011},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/TEST.2011.6139137},
  doi          = {10.1109/TEST.2011.6139137},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/TamB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/TamB11,
  author       = {Wing Chiu Tam and
                  Ronald D. Blanton},
  title        = {{SLIDER:} {A} fast and accurate defect simulation framework},
  booktitle    = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana
                  Point, California, {USA}},
  pages        = {172--177},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VTS.2011.5783779},
  doi          = {10.1109/VTS.2011.5783779},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/TamB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/itc/2011,
  editor       = {Bill Eklow and
                  R. D. (Shawn) Blanton},
  title        = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA,
                  USA, September 20-22, 2011},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6132473/proceeding},
  isbn         = {978-1-4577-0153-5},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/RickettsBLBMF10,
  author       = {David S. Ricketts and
                  James A. Bain and
                  Yi Luo and
                  Ronald D. Blanton and
                  Kenneth Mai and
                  Gary K. Fedder},
  title        = {Enhancing {CMOS} Using Nanoelectronic Devices: {A} Perspective on
                  Hybrid Integrated Systems},
  journal      = {Proc. {IEEE}},
  volume       = {98},
  number       = {12},
  pages        = {2061--2075},
  year         = {2010},
  url          = {https://doi.org/10.1109/JPROC.2010.2064270},
  doi          = {10.1109/JPROC.2010.2064270},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/RickettsBLBMF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YuB10,
  author       = {Xiaochun Yu and
                  Ronald D. Blanton},
  title        = {Diagnosis of Integrated Circuits With Multiple Defects of Arbitrary
                  Characteristics},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {6},
  pages        = {977--987},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2010.2048352},
  doi          = {10.1109/TCAD.2010.2048352},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YuB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/NelsonTB10,
  author       = {Jeffrey E. Nelson and
                  Wing Chiu Tam and
                  Ronald D. Blanton},
  editor       = {Ron Press and
                  Erik H. Volkerink},
  title        = {Automatic classification of bridge defects},
  booktitle    = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX,
                  USA, November 2-4, 2010},
  pages        = {305--314},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/TEST.2010.5699231},
  doi          = {10.1109/TEST.2010.5699231},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/NelsonTB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/TamPB10,
  author       = {Wing Chiu Tam and
                  Osei Poku and
                  Ronald D. Blanton},
  editor       = {Ron Press and
                  Erik H. Volkerink},
  title        = {Systematic defect identification through layout snippet clustering},
  booktitle    = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX,
                  USA, November 2-4, 2010},
  pages        = {378--387},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/TEST.2010.5699239},
  doi          = {10.1109/TEST.2010.5699239},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/TamPB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/YuB10,
  author       = {Xiaochun Yu and
                  Ronald D. Blanton},
  editor       = {Ron Press and
                  Erik H. Volkerink},
  title        = {Estimating defect-type distributions through volume diagnosis and
                  defect behavior attribution},
  booktitle    = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX,
                  USA, November 2-4, 2010},
  pages        = {664--673},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/TEST.2010.5699270},
  doi          = {10.1109/TEST.2010.5699270},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/YuB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/TamBM10,
  author       = {Wing Chiu Tam and
                  R. D. (Shawn) Blanton and
                  Wojciech Maly},
  title        = {Evaluating yield and testing impact of sub-wavelength lithography},
  booktitle    = {28th {IEEE} {VLSI} Test Symposium, {VTS} 2010, April 19-22, 2010,
                  Santa Cruz, California, {USA}},
  pages        = {200--205},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/VTS.2010.5469576},
  doi          = {10.1109/VTS.2010.5469576},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/TamBM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TamPB09,
  author       = {Wing Chiu Tam and
                  Osei Poku and
                  R. D. (Shawn) Blanton},
  title        = {Automated failure population creation for validating integrated circuit
                  diagnosis methods},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {708--713},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630096},
  doi          = {10.1145/1629911.1630096},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TamPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiRB09,
  author       = {Xin Li and
                  Rob A. Rutenbar and
                  R. D. (Shawn) Blanton},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Virtual probe: {A} statistically optimal framework for minimum-cost
                  silicon characterization of nanoscale integrated circuits},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {433--440},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687481},
  doi          = {10.1145/1687399.1687481},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiRB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LinB09,
  author       = {Yen{-}Tzu Lin and
                  Ronald D. Blanton},
  editor       = {Gordon W. Roberts and
                  Bill Eklow},
  title        = {Test effectiveness evaluation through analysis of readily-available
                  tester data},
  booktitle    = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX,
                  USA, November 1-6, 2009},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/TEST.2009.5355716},
  doi          = {10.1109/TEST.2009.5355716},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LinB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/YuLTPB09,
  author       = {Xiaochun Yu and
                  Yen{-}Tzu Lin and
                  Wing Chiu Tam and
                  Osei Poku and
                  Ronald D. Blanton},
  title        = {Controlling {DPPM} through Volume Diagnosis},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {134--139},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.49},
  doi          = {10.1109/VTS.2009.49},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/YuLTPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LinEB09,
  author       = {Yen{-}Tzu Lin and
                  Chukwuemeka U. Ezekwe and
                  Ronald D. Blanton},
  title        = {Physically-Aware N-Detect Test Relaxation},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {197--202},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.47},
  doi          = {10.1109/VTS.2009.47},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LinEB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BiswasB09,
  author       = {Sounil Biswas and
                  Ronald D. Blanton},
  title        = {Maintaining Accuracy of Test Compaction through Adaptive Re-learning},
  booktitle    = {27th {IEEE} {VLSI} Test Symposium, {VTS} 2009, May 3-7, 2009, Santa
                  Cruz, California, {USA}},
  pages        = {257--263},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VTS.2009.59},
  doi          = {10.1109/VTS.2009.59},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BiswasB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuB08,
  author       = {Xiaochun Yu and
                  R. D. (Shawn) Blanton},
  editor       = {Limor Fix},
  title        = {Multiple defect diagnosis using no assumptions on failing pattern
                  characteristics},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {361--366},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391567},
  doi          = {10.1145/1391469.1391567},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TamPB08,
  author       = {Wing Chiu Tam and
                  Osei Poku and
                  R. D. (Shawn) Blanton},
  editor       = {Limor Fix},
  title        = {Precise failure localization using automated layout analysis of diagnosis
                  candidates},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {367--372},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391568},
  doi          = {10.1145/1391469.1391568},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/TamPB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BrownTBP08,
  author       = {Jason G. Brown and
                  Brian Taylor and
                  Ronald D. Blanton and
                  Larry T. Pileggi},
  editor       = {Donatella Sciuto},
  title        = {Automated Testability Enhancements for Logic Brick Libraries},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {480--485},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484727},
  doi          = {10.1109/DATE.2008.4484727},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BrownTBP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LinPBB08,
  author       = {Yen{-}Tzu Lin and
                  Osei Poku and
                  Naresh K. Bhatti and
                  Ronald D. Blanton},
  editor       = {Donatella Sciuto},
  title        = {Physically-Aware N-Detect Test Pattern Selection},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {634--639},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484748},
  doi          = {10.1109/DATE.2008.4484748},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LinPBB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BrownB08,
  author       = {Jason G. Brown and
                  R. D. (Shawn) Blanton},
  title        = {Automated Standard Cell Library Analysis for Improved Defect Modeling},
  booktitle    = {9th International Symposium on Quality of Electronic Design {(ISQED}
                  2008), 17-19 March 2008, San Jose, CA, {USA}},
  pages        = {643--648},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISQED.2008.4479813},
  doi          = {10.1109/ISQED.2008.4479813},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BrownB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BiswasB08,
  author       = {Sounil Biswas and
                  Ronald D. Blanton},
  editor       = {Douglas Young and
                  Nur A. Touba},
  title        = {Improving the Accuracy of Test Compaction through Adaptive Test Update},
  booktitle    = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara,
                  California, USA, October 26-31, 2008},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/TEST.2008.4700706},
  doi          = {10.1109/TEST.2008.4700706},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BiswasB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LinPBNLI08,
  author       = {Yen{-}Tzu Lin and
                  Osei Poku and
                  Ronald D. Blanton and
                  Phil Nigh and
                  Peter Lloyd and
                  Vikram Iyengar},
  editor       = {Douglas Young and
                  Nur A. Touba},
  title        = {Evaluating the Effectiveness of Physically-Aware N-Detect Test using
                  Real Silicon},
  booktitle    = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara,
                  California, USA, October 26-31, 2008},
  pages        = {1--9},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/TEST.2008.4700606},
  doi          = {10.1109/TEST.2008.4700606},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LinPBNLI08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/YuB08,
  author       = {Xiaochun Yu and
                  Ronald D. Blanton},
  editor       = {Douglas Young and
                  Nur A. Touba},
  title        = {An Effective and Flexible Multiple Defect Diagnosis Methodology Using
                  Error Propagation Analysis},
  booktitle    = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara,
                  California, USA, October 26-31, 2008},
  pages        = {1--9},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/TEST.2008.4700595},
  doi          = {10.1109/TEST.2008.4700595},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/YuB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BiswasB08,
  author       = {Sounil Biswas and
                  R. D. (Shawn) Blanton},
  title        = {Test Compaction for Mixed-Signal Circuits Using Pass-Fail Test Data},
  booktitle    = {26th {IEEE} {VLSI} Test Symposium {(VTS} 2008), April 27 - May 1,
                  2008, San Diego, California, {USA}},
  pages        = {299--308},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VTS.2008.35},
  doi          = {10.1109/VTS.2008.35},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BiswasB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/BrownB07,
  author       = {Jason G. Brown and
                  R. D. (Shawn) Blanton},
  title        = {A Built-in Self-test and Diagnosis Strategy for Chemically Assembled
                  Electronic Nanotechnology},
  journal      = {J. Electron. Test.},
  volume       = {23},
  number       = {2-3},
  pages        = {131--144},
  year         = {2007},
  url          = {https://doi.org/10.1007/s10836-006-0552-x},
  doi          = {10.1007/S10836-006-0552-X},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/BrownB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/PokuB07,
  author       = {Osei Poku and
                  Ronald D. Blanton},
  editor       = {Jill Sibert and
                  Janusz Rajski},
  title        = {Delay defect diagnosis using segment network faults},
  booktitle    = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara,
                  California, USA, October 21-26, 2007},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/TEST.2007.4437602},
  doi          = {10.1109/TEST.2007.4437602},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/PokuB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4719,
  author       = {Sounil Biswas and
                  Peng Li and
                  R. D. (Shawn) Blanton and
                  Larry T. Pileggi},
  title        = {Specification Test Compaction for Analog Circuits and {MEMS}},
  journal      = {CoRR},
  volume       = {abs/0710.4719},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4719},
  eprinttype    = {arXiv},
  eprint       = {0710.4719},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4719.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/NelsonZBPBMBS06,
  author       = {Jeffrey E. Nelson and
                  Thomas Zanon and
                  Jason G. Brown and
                  Osei Poku and
                  R. D. (Shawn) Blanton and
                  Wojciech Maly and
                  Brady Benware and
                  Chris Schuermyer},
  title        = {Extracting Defect Density and Size Distributions from Product ICs},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {23},
  number       = {5},
  pages        = {390--400},
  year         = {2006},
  url          = {https://doi.org/10.1109/MDT.2006.117},
  doi          = {10.1109/MDT.2006.117},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/NelsonZBPBMBS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BiswasB06,
  author       = {Sounil Biswas and
                  Ronald D. Blanton},
  title        = {Statistical Test Compaction Using Binary Decision Trees},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {23},
  number       = {6},
  pages        = {452--462},
  year         = {2006},
  url          = {https://doi.org/10.1109/MDT.2006.154},
  doi          = {10.1109/MDT.2006.154},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BiswasB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JiangB06,
  author       = {Tao Jiang and
                  R. D. (Shawn) Blanton},
  title        = {Inductive fault analysis of surface-micromachined {MEMS}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {6},
  pages        = {1104--1116},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2005.855926},
  doi          = {10.1109/TCAD.2005.855926},
  timestamp    = {Wed, 22 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/JiangB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BlantonDD06,
  author       = {Ronald D. Blanton and
                  Kumar N. Dwarakanath and
                  Rao Desineni},
  title        = {Defect Modeling Using Fault Tuples},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {11},
  pages        = {2450--2464},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2006.870836},
  doi          = {10.1109/TCAD.2006.870836},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BlantonDD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/NelsonBDB06,
  author       = {Jeffrey E. Nelson and
                  Jason G. Brown and
                  Rao Desineni and
                  R. D. (Shawn) Blanton},
  editor       = {Ellen Sentovich},
  title        = {Multiple-detect {ATPG} based on physical neighborhoods},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {1099--1102},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147186},
  doi          = {10.1145/1146909.1147186},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/NelsonBDB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/NelsonZDBPMB06,
  author       = {Jeffrey E. Nelson and
                  Thomas Zanon and
                  Rao Desineni and
                  Jason G. Brown and
                  N. Patil and
                  Wojciech Maly and
                  R. D. (Shawn) Blanton},
  editor       = {Georges G. E. Gielen},
  title        = {Extraction of defect density and size distributions from wafer sort
                  test results},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {913--918},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.243807},
  doi          = {10.1109/DATE.2006.243807},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/NelsonZDBPMB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BhattiB06,
  author       = {Naresh K. Bhatti and
                  Ronald D. Blanton},
  editor       = {Scott Davidson and
                  Anne Gattiker},
  title        = {Diagnostic Test Generation for Arbitrary Faults},
  booktitle    = {2006 {IEEE} International Test Conference, {ITC} 2006, Santa Clara,
                  CA, USA, October 22-27, 2006},
  pages        = {1--9},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/TEST.2006.297647},
  doi          = {10.1109/TEST.2006.297647},
  timestamp    = {Tue, 12 Dec 2023 09:46:27 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BhattiB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DesineniPB06,
  author       = {Rao Desineni and
                  Osei Poku and
                  Ronald D. Blanton},
  editor       = {Scott Davidson and
                  Anne Gattiker},
  title        = {A Logic Diagnosis Methodology for Improved Localization and Extraction
                  of Accurate Defect Behavior},
  booktitle    = {2006 {IEEE} International Test Conference, {ITC} 2006, Santa Clara,
                  CA, USA, October 22-27, 2006},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/TEST.2006.297627},
  doi          = {10.1109/TEST.2006.297627},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/DesineniPB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BrownB06,
  author       = {Jason G. Brown and
                  R. D. (Shawn) Blanton},
  title        = {Exploiting Regularity for Inductive Fault Analysis},
  booktitle    = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006,
                  Berkeley, California, {USA}},
  pages        = {364--369},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VTS.2006.35},
  doi          = {10.1109/VTS.2006.35},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BrownB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BiswasLBP05,
  author       = {Sounil Biswas and
                  Peng Li and
                  R. D. (Shawn) Blanton and
                  Larry T. Pileggi},
  title        = {Specification Test Compaction for Analog Circuits and {MEMS}},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {164--169},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.277},
  doi          = {10.1109/DATE.2005.277},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BiswasLBP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/BlantonM05,
  author       = {R. D. (Shawn) Blanton and
                  Subhasish Mitra},
  title        = {Testing Nanometer Digital Integration Circuits: Myths, Reality and
                  the Road Ahead},
  booktitle    = {18th International Conference on {VLSI} Design {(VLSI} Design 2005),
                  with the 4th International Conference on Embedded Systems Design,
                  3-7 January 2005, Kolkata, India},
  pages        = {8--9},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICVD.2005.162},
  doi          = {10.1109/ICVD.2005.162},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/BlantonM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/DesineniB05,
  author       = {Rao Desineni and
                  R. D. (Shawn) Blanton},
  title        = {Diagnosis of Arbitrary Defects Using Neighborhood Function Extraction},
  booktitle    = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm
                  Springs, CA, {USA}},
  pages        = {366--373},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/VTS.2005.41},
  doi          = {10.1109/VTS.2005.41},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/DesineniB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/LiuDCB04,
  author       = {Chunsheng Liu and
                  Kumar N. Dwarakanath and
                  Krishnendu Chakrabarty and
                  Ronald D. Blanton},
  title        = {Compact Dictionaries for Diagnosis of Unmodeled Faults in Scan-BIST},
  booktitle    = {2004 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI}
                  2004), Emerging Trends in {VLSI} Systems Design, 19-20 February 2004,
                  Lafayette, LA, {USA}},
  pages        = {173--178},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ISVLSI.2004.1339526},
  doi          = {10.1109/ISVLSI.2004.1339526},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/LiuDCB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BrownB04,
  author       = {Jason G. Brown and
                  R. D. (Shawn) Blanton},
  title        = {{CAEN-BIST:} Testing the NanoFabric},
  booktitle    = {Proceedings 2004 International Test Conference {(ITC} 2004), October
                  26-28, 2004, Charlotte, NC, {USA}},
  pages        = {462--471},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/TEST.2004.1386982},
  doi          = {10.1109/TEST.2004.1386982},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BrownB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/VogelsZDBMBNFHGMRT04,
  author       = {Thomas J. Vogels and
                  Thomas Zanon and
                  Rao Desineni and
                  R. D. (Shawn) Blanton and
                  Wojciech Maly and
                  Jason G. Brown and
                  Jeffrey E. Nelson and
                  Y. Fei and
                  X. Huang and
                  Padmini Gopalakrishnan and
                  Mahim Mishra and
                  Vyacheslav Rovner and
                  S. Tiwary},
  title        = {Benchmarking Diagnosis Algorithms With a Diverse Set of {IC} Deformations},
  booktitle    = {Proceedings 2004 International Test Conference {(ITC} 2004), October
                  26-28, 2004, Charlotte, NC, {USA}},
  pages        = {508--517},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/TEST.2004.1386987},
  doi          = {10.1109/TEST.2004.1386987},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/VogelsZDBMBNFHGMRT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/DebB04,
  author       = {Nilmoni Deb and
                  R. D. (Shawn) Blanton},
  title        = {Multi-Modal Built-In Self-Test for Symmetric Microsystems},
  booktitle    = {22nd {IEEE} {VLSI} Test Symposium {(VTS} 2004), 25-29 April 2004,
                  Napa Valley, CA, {USA}},
  pages        = {139--147},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/VTEST.2004.1299237},
  doi          = {10.1109/VTEST.2004.1299237},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/DebB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BiswasDB04,
  author       = {Sounil Biswas and
                  Kumar N. Dwarakanath and
                  R. D. (Shawn) Blanton},
  title        = {Generalized Sensitization using Fault Tuples},
  booktitle    = {22nd {IEEE} {VLSI} Test Symposium {(VTS} 2004), 25-29 April 2004,
                  Napa Valley, CA, {USA}},
  pages        = {297--303},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/VTEST.2004.1299256},
  doi          = {10.1109/VTEST.2004.1299256},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BiswasDB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/BlantonH03,
  author       = {Ronald D. Blanton and
                  John P. Hayes},
  title        = {On the properties of the input pattern fault model},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {8},
  number       = {1},
  pages        = {108--124},
  year         = {2003},
  url          = {https://doi.org/10.1145/606603.606609},
  doi          = {10.1145/606603.606609},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/BlantonH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KunduB03,
  author       = {Rahul Kundu and
                  R. D. (Shawn) Blanton},
  title        = {{ATPG} for Noise-Induced Switch Failures in Domino Logic},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {765--769},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257895},
  doi          = {10.1109/ICCAD.2003.1257895},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KunduB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KunduB03,
  author       = {Rahul Kundu and
                  R. D. (Shawn) Blanton},
  title        = {Path Delay Test Generation for Domino Logic Circuits in the Presence
                  of Crosstalk},
  booktitle    = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking
                  Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte,
                  NC, {USA}},
  pages        = {122--130},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/TEST.2003.1270832},
  doi          = {10.1109/TEST.2003.1270832},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KunduB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/VogelsMB03,
  author       = {Thomas J. Vogels and
                  Wojciech Maly and
                  R. D. (Shawn) Blanton},
  title        = {Progressive Bridge Identification},
  booktitle    = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking
                  Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte,
                  NC, {USA}},
  pages        = {309--318},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/TEST.2003.1270853},
  doi          = {10.1109/TEST.2003.1270853},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/VogelsMB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/MalyGZVBS03,
  author       = {Wojciech Maly and
                  Anne E. Gattiker and
                  Thomas Zanon and
                  Thomas J. Vogels and
                  R. D. (Shawn) Blanton and
                  Thomas M. Storey},
  title        = {Deformations of {IC} Structure in Test and Yield Learning},
  booktitle    = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking
                  Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte,
                  NC, {USA}},
  pages        = {856--865},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/TEST.2003.1271071},
  doi          = {10.1109/TEST.2003.1271071},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/MalyGZVBS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BlantonDS03,
  author       = {R. D. (Shawn) Blanton and
                  Kumar N. Dwarakanath and
                  Anirudh B. Shah},
  title        = {Analyzing the Effectiveness of Multiple-Detect Test Sets},
  booktitle    = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking
                  Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte,
                  NC, {USA}},
  pages        = {876--885},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/TEST.2003.1271073},
  doi          = {10.1109/TEST.2003.1271073},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BlantonDS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/NagGWBM02,
  author       = {Pranab K. Nag and
                  Anne E. Gattiker and
                  Sichao Wei and
                  Ronald D. Blanton and
                  Wojciech Maly},
  title        = {Modeling the Economics of Testing: {A} {DFT} Perspective},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {19},
  number       = {1},
  pages        = {29--41},
  year         = {2002},
  url          = {https://doi.org/10.1109/54.980051},
  doi          = {10.1109/54.980051},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/NagGWBM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/HeraguSKB02,
  author       = {Keerthi Heragu and
                  Manish Sharma and
                  Rahul Kundu and
                  Ronald D. Blanton},
  title        = {Test vector generation for charge sharing failures in dynamic logic},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {21},
  number       = {12},
  pages        = {1502--1508},
  year         = {2002},
  url          = {https://doi.org/10.1109/TCAD.2002.804377},
  doi          = {10.1109/TCAD.2002.804377},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/HeraguSKB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/ArunachalamBP02,
  author       = {Ravishankar Arunachalam and
                  Ronald DeShawn Blanton and
                  Lawrence T. Pileggi},
  title        = {Accurate Coupling-centric Timing Analysis Incorporating Temporal and
                  Functional Isolation},
  journal      = {{VLSI} Design},
  volume       = {15},
  number       = {3},
  pages        = {605--618},
  year         = {2002},
  url          = {https://doi.org/10.1080/1065514021000012228},
  doi          = {10.1080/1065514021000012228},
  timestamp    = {Mon, 08 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/ArunachalamBP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BlantonCDDMV02,
  author       = {Ronald D. Blanton and
                  John T. Chen and
                  Rao Desineni and
                  Kumar N. Dwarakanath and
                  Wojciech Maly and
                  Thomas J. Vogels},
  title        = {Fault Tuples in Diagnosis of Deep-Submicron Circuits},
  booktitle    = {Proceedings {IEEE} International Test Conference 2002, Baltimore,
                  MD, USA, October 7-10, 2002},
  pages        = {233--241},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/TEST.2002.1041765},
  doi          = {10.1109/TEST.2002.1041765},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BlantonCDDMV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DebB02,
  author       = {Nilmoni Deb and
                  R. D. (Shawn) Blanton},
  title        = {Built-In Self Test of {CMOS-MEMS} Accelerometers},
  booktitle    = {Proceedings {IEEE} International Test Conference 2002, Baltimore,
                  MD, USA, October 7-10, 2002},
  pages        = {1075--1084},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/TEST.2002.1041864},
  doi          = {10.1109/TEST.2002.1041864},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DebB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/DwarakanatB02,
  author       = {Kumar N. Dwarakanath and
                  R. D. (Shawn) Blanton},
  title        = {Exploiting Dominance and Equivalence using Fault Tuples},
  booktitle    = {20th {IEEE} {VLSI} Test Symposium {(VTS} 2002), Without Testing It's
                  a Gamble, 28 April - 2 May 2002, Monterey, CA, {USA}},
  pages        = {269--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/VTS.2002.1011151},
  doi          = {10.1109/VTS.2002.1011151},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/DwarakanatB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KunduB02,
  author       = {Rahul Kundu and
                  R. D. (Shawn) Blanton},
  title        = {Timed Test Generation Crosstalk Switch Failures in Domino {CMOS} Circuits},
  booktitle    = {20th {IEEE} {VLSI} Test Symposium {(VTS} 2002), Without Testing It's
                  a Gamble, 28 April - 2 May 2002, Monterey, CA, {USA}},
  pages        = {379--388},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/VTS.2002.1011168},
  doi          = {10.1109/VTS.2002.1011168},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KunduB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/MirBBKK02,
  author       = {Salvador Mir and
                  H. Bederr and
                  R. D. (Shawn) Blanton and
                  Hans G. Kerkhoff and
                  H. J. Klim},
  title        = {SoCs with MEMS? Can We Include {MEMS} in the SoCs Design and Test
                  Flow?},
  booktitle    = {20th {IEEE} {VLSI} Test Symposium {(VTS} 2002), Without Testing It's
                  a Gamble, 28 April - 2 May 2002, Monterey, CA, {USA}},
  pages        = {449--450},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/VTS.2002.1011179},
  doi          = {10.1109/VTS.2002.1011179},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/MirBBKK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ArunachalamBP01,
  author       = {Ravishankar Arunachalam and
                  Ronald D. Blanton and
                  Lawrence T. Pileggi},
  title        = {False Coupling Interactions in Static Timing Analysis},
  booktitle    = {Proceedings of the 38th Design Automation Conference, {DAC} 2001,
                  Las Vegas, NV, USA, June 18-22, 2001},
  pages        = {726--731},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/378239.379055},
  doi          = {10.1145/378239.379055},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ArunachalamBP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/UtamaphethaiBS01,
  author       = {Noppanunt Utamaphethai and
                  Ronald D. Blanton and
                  John Paul Shen},
  title        = {Relating buffer-oriented microarchitecture validation to high-level
                  pipeline functionality},
  booktitle    = {Proceedings of the Sixth {IEEE} International High-Level Design Validation
                  and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001},
  pages        = {3--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/HLDVT.2001.972799},
  doi          = {10.1109/HLDVT.2001.972799},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/UtamaphethaiBS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/NicolaidisBABSL01,
  author       = {Michael Nicolaidis and
                  Slimane Boutobza and
                  Nadir Achouri and
                  R. D. Shawn Blanton and
                  Julie Segal and
                  David Y. Lepejian and
                  Ben Chu and
                  Tony Singh and
                  Harvey Berman},
  title        = {Designing and Implementing Efficient {BISR} Techniques for Embedded
                  RAMs},
  booktitle    = {2nd Latin American Test Workshop, {LATW} 2001, Cancun, Mexico, February
                  11-14, 2001},
  pages        = {248--252},
  publisher    = {{IEEE}},
  year         = {2001},
  timestamp    = {Tue, 05 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/NicolaidisBABSL01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/HeraguSKB01,
  author       = {Keerthi Heragu and
                  Manish Sharma and
                  Rahul Kundu and
                  R. D. (Shawn) Blanton},
  title        = {Testing of Dynamic Logic Circuits Based on Charge Sharing},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {396--403},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923468},
  doi          = {10.1109/VTS.2001.923468},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/HeraguSKB01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/UtamaphethaiBS00,
  author       = {Noppanunt Utamaphethai and
                  R. D. (Shawn) Blanton and
                  John Paul Shen},
  title        = {Effectiveness of Microarchitecture Test Program Generation},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {17},
  number       = {4},
  pages        = {38--49},
  year         = {2000},
  url          = {https://doi.org/10.1109/54.895005},
  doi          = {10.1109/54.895005},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/UtamaphethaiBS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/UtamaphethaiBS00,
  author       = {Noppanunt Utamaphethai and
                  R. D. (Shawn) Blanton and
                  John Paul Shen},
  title        = {A Buffer-Oriented Methodology for Microarchitecture Validation},
  journal      = {J. Electron. Test.},
  volume       = {16},
  number       = {1-2},
  pages        = {49--65},
  year         = {2000},
  url          = {https://doi.org/10.1023/A:1008384521954},
  doi          = {10.1023/A:1008384521954},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/UtamaphethaiBS00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/BlantonH00,
  author       = {Ronald D. Blanton and
                  John P. Hayes},
  title        = {On the design of fast, easily testable ALU's},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {8},
  number       = {2},
  pages        = {220--223},
  year         = {2000},
  url          = {https://doi.org/10.1109/92.831442},
  doi          = {10.1109/92.831442},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BlantonH00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DwarakanathB00,
  author       = {Kumar N. Dwarakanath and
                  Ronald D. Blanton},
  editor       = {Giovanni De Micheli},
  title        = {Universal fault simulation using fault tuples},
  booktitle    = {Proceedings of the 37th Conference on Design Automation, Los Angeles,
                  CA, USA, June 5-9, 2000},
  pages        = {786--789},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/337292.337779},
  doi          = {10.1145/337292.337779},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DwarakanathB00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KunduB00,
  author       = {Rahul Kundu and
                  Ronald D. Blanton},
  title        = {Identification of crosstalk switch failures in domino {CMOS} circuits},
  booktitle    = {Proceedings {IEEE} International Test Conference 2000, Atlantic City,
                  NJ, USA, October 2000},
  pages        = {502--509},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/TEST.2000.894243},
  doi          = {10.1109/TEST.2000.894243},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KunduB00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DebB00,
  author       = {Nilmoni Deb and
                  Ronald D. Blanton},
  title        = {Analysis of failure sources in surface-micromachined {MEMS}},
  booktitle    = {Proceedings {IEEE} International Test Conference 2000, Atlantic City,
                  NJ, USA, October 2000},
  pages        = {739--749},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/TEST.2000.894270},
  doi          = {10.1109/TEST.2000.894270},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DebB00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DesineniDB00,
  author       = {Rao Desineni and
                  Kumar N. Dwarakanath and
                  Ronald D. Blanton},
  title        = {Universal test generation using fault tuples},
  booktitle    = {Proceedings {IEEE} International Test Conference 2000, Atlantic City,
                  NJ, USA, October 2000},
  pages        = {812--819},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/TEST.2000.894283},
  doi          = {10.1109/TEST.2000.894283},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DesineniDB00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/CourtoisB99,
  author       = {Bernard Courtois and
                  R. D. (Shawn) Blanton},
  title        = {Guest Editors' Introduction},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {16},
  number       = {4},
  pages        = {16--17},
  year         = {1999},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/CourtoisB99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MukherjeeFB99,
  author       = {Tamal Mukherjee and
                  Gary K. Fedder and
                  R. D. (Shawn) Blanton},
  title        = {Hierarchical Design and Test of Integrated Microsystems},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {16},
  number       = {4},
  pages        = {18--27},
  year         = {1999},
  url          = {https://doi.org/10.1109/54.808200},
  doi          = {10.1109/54.808200},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/MukherjeeFB99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/BechemCUBBS99,
  author       = {Candice Bechem and
                  Jonathan Combs and
                  Noppanunt Utamaphethai and
                  Bryan Black and
                  R. D. (Shawn) Blanton and
                  John Paul Shen},
  title        = {An integrated functional performance simulator},
  journal      = {{IEEE} Micro},
  volume       = {19},
  number       = {3},
  pages        = {26--35},
  year         = {1999},
  url          = {https://doi.org/10.1109/40.768499},
  doi          = {10.1109/40.768499},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/BechemCUBBS99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/JiangB99,
  author       = {Tao Jiang and
                  Ronald D. Blanton},
  title        = {Particulate failures for surface-micromachined {MEMS}},
  booktitle    = {Proceedings {IEEE} International Test Conference 1999, Atlantic City,
                  NJ, USA, 27-30 September 1999},
  pages        = {329--337},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/TEST.1999.805647},
  doi          = {10.1109/TEST.1999.805647},
  timestamp    = {Wed, 22 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/JiangB99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/Blanton99,
  author       = {R. D. (Shawn) Blanton},
  title        = {IDDQ-Testability of Tree Circuits},
  booktitle    = {12th International Conference on {VLSI} Design {(VLSI} Design 1999),
                  10-13 January 1999, Goa, India},
  pages        = {78--86},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICVD.1999.745128},
  doi          = {10.1109/ICVD.1999.745128},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/Blanton99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/UtamaphethaiBS99,
  author       = {Noppanunt Utamaphethai and
                  R. D. (Shawn) Blanton and
                  John Paul Shen},
  title        = {Superscalar Processor Validation at the Microarchitecture Level},
  booktitle    = {12th International Conference on {VLSI} Design {(VLSI} Design 1999),
                  10-13 January 1999, Goa, India},
  pages        = {300--305},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICVD.1999.745164},
  doi          = {10.1109/ICVD.1999.745164},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/UtamaphethaiBS99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DoughertyB98,
  author       = {William E. Dougherty and
                  R. D. (Shawn) Blanton},
  title        = {Using regression analysis for GA-based {ATPG} parameter optimization},
  booktitle    = {International Conference on Computer Design: {VLSI} in Computers and
                  Processors, {ICCD} 1998, Proceedings, 5-7 October, 1998, Austin, TX,
                  {USA}},
  pages        = {516--521},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/ICCD.1998.727098},
  doi          = {10.1109/ICCD.1998.727098},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DoughertyB98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KolpekwarBW98,
  author       = {Abhijeet Kolpekwar and
                  Ronald D. Blanton and
                  David Woodilla},
  title        = {Failure modes for stiction in surface-micromachined {MEMS}},
  booktitle    = {Proceedings {IEEE} International Test Conference 1998, Washington,
                  DC, USA, October 18-22, 1998},
  pages        = {551--556},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/TEST.1998.743198},
  doi          = {10.1109/TEST.1998.743198},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KolpekwarBW98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KolpekwarKB98,
  author       = {Abhijeet Kolpekwar and
                  Chris S. Kellen and
                  Ronald D. Blanton},
  title        = {{MEMS} fault model generation using {CARAMEL}},
  booktitle    = {Proceedings {IEEE} International Test Conference 1998, Washington,
                  DC, USA, October 18-22, 1998},
  pages        = {557--566},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/TEST.1998.743199},
  doi          = {10.1109/TEST.1998.743199},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KolpekwarKB98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KaramLBR98,
  author       = {Jean{-}Michel Karam and
                  Marcelo Lubaszewski and
                  R. D. Shawn Blanton and
                  Andrew Richardson},
  title        = {Testing {MEMS}},
  booktitle    = {16th {IEEE} {VLSI} Test Symposium {(VTS} '98), 28 April - 1 May 1998,
                  Princeton, NJ, {USA}},
  pages        = {320--321},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.ieeecomputersociety.org/10.1109/VTS.1998.10009},
  doi          = {10.1109/VTS.1998.10009},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KaramLBR98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/BlantonH97,
  author       = {R. D. (Shawn) Blanton and
                  John P. Hayes},
  title        = {Testability Properties of Divergent Trees},
  journal      = {J. Electron. Test.},
  volume       = {11},
  number       = {3},
  pages        = {197--209},
  year         = {1997},
  url          = {https://doi.org/10.1023/A:1008262321471},
  doi          = {10.1023/A:1008262321471},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/BlantonH97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BlantonH97,
  author       = {Ronald D. Blanton and
                  John P. Hayes},
  title        = {The input pattern fault model and its application},
  booktitle    = {European Design and Test Conference, ED{\&}TC '97, Paris, France,
                  17-20 March 1997},
  pages        = {628},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/EDTC.1997.582441},
  doi          = {10.1109/EDTC.1997.582441},
  timestamp    = {Fri, 20 May 2022 15:59:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/BlantonH97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BlantonH97,
  author       = {Ronald D. Blanton and
                  John P. Hayes},
  title        = {Properties of the Input Pattern Fault Model},
  booktitle    = {Proceedings 1997 International Conference on Computer Design: {VLSI}
                  in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA,
                  October 12-15, 1997},
  pages        = {372--380},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/ICCD.1997.628897},
  doi          = {10.1109/ICCD.1997.628897},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/BlantonH97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WeiNBGM97,
  author       = {Sichao Wei and
                  Pranab K. Nag and
                  Ronald D. Blanton and
                  Anne E. Gattiker and
                  Wojciech Maly},
  title        = {To {DFT} or Not to DFT?},
  booktitle    = {Proceedings {IEEE} International Test Conference 1997, Washington,
                  DC, USA, November 3-5, 1997},
  pages        = {557--566},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/TEST.1997.639664},
  doi          = {10.1109/TEST.1997.639664},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WeiNBGM97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KolpekwarB97,
  author       = {Abhijeet Kolpekwar and
                  Ronald D. Blanton},
  title        = {Development of a {MEMS} Testing Methodology},
  booktitle    = {Proceedings {IEEE} International Test Conference 1997, Washington,
                  DC, USA, November 3-5, 1997},
  pages        = {923--931},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/TEST.1997.639707},
  doi          = {10.1109/TEST.1997.639707},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KolpekwarB97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/BlantonH96,
  author       = {Ronald D. Blanton and
                  John P. Hayes},
  title        = {Testability of Convergent Tree Circuits},
  journal      = {{IEEE} Trans. Computers},
  volume       = {45},
  number       = {8},
  pages        = {950--963},
  year         = {1996},
  url          = {https://doi.org/10.1109/12.536237},
  doi          = {10.1109/12.536237},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/BlantonH96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/AgrawalBD96,
  author       = {Vishwani D. Agrawal and
                  Ronald D. Blanton and
                  Maurizio Damiani},
  title        = {Synthesis of Self-Testing Finite State Machines from High-Level Specifications},
  booktitle    = {Proceedings {IEEE} International Test Conference 1996, Test and Design
                  Validity, Washington, DC, USA, October 20-25, 1996},
  pages        = {757--766},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/TEST.1996.557135},
  doi          = {10.1109/TEST.1996.557135},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/AgrawalBD96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/BlantonH96,
  author       = {R. D. (Shawn) Blanton and
                  John P. Hayes},
  title        = {Design of a fast, easily testable {ALU}},
  booktitle    = {14th {IEEE} {VLSI} Test Symposium (VTS'96), April 28 - May 1, 1996,
                  Princeton, NJ, {USA}},
  pages        = {9--16},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/VTEST.1996.510829},
  doi          = {10.1109/VTEST.1996.510829},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/BlantonH96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Blanton95,
  author       = {R. D. (Shawn) Blanton},
  title        = {Design and testing of regular circuits},
  school       = {University of Michigan, {USA}},
  year         = {1995},
  url          = {https://hdl.handle.net/2027.42/104432},
  timestamp    = {Fri, 22 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Blanton95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ftcs/BlantonH93,
  author       = {Ronald D. Blanton and
                  John P. Hayes},
  title        = {Efficient Testing of Tree Circuits},
  booktitle    = {Digest of Papers: FTCS-23, The Twenty-Third Annual International Symposium
                  on Fault-Tolerant Computing, Toulouse, France, June 22-24, 1993},
  pages        = {176--185},
  publisher    = {{IEEE} Computer Society},
  year         = {1993},
  url          = {https://doi.org/10.1109/FTCS.1993.627321},
  doi          = {10.1109/FTCS.1993.627321},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/ftcs/BlantonH93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics