BibTeX records: Gregory K. Chen

download as .bib file

@article{DBLP:journals/jssc/LinWZCKKS24,
  author       = {Chuan{-}Tung Lin and
                  Dewei Wang and
                  Bo Zhang and
                  Gregory K. Chen and
                  Phil C. Knag and
                  Ram Kumar Krishnamurthy and
                  Mingoo Seok},
  title        = {{DIMCA:} An Area-Efficient Digital In-Memory Computing Macro Featuring
                  Approximate Arithmetic Hardware in 28 nm},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {59},
  number       = {3},
  pages        = {960--971},
  year         = {2024},
  url          = {https://doi.org/10.1109/JSSC.2023.3313519},
  doi          = {10.1109/JSSC.2023.3313519},
  timestamp    = {Sat, 16 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/LinWZCKKS24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenKTK23,
  author       = {Gregory K. Chen and
                  Phil C. Knag and
                  Carlos Tokunaga and
                  Ram K. Krishnamurthy},
  title        = {An Eight-Core {RISC-V} Processor With Compute Near Last Level Cache
                  in Intel 4 {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {58},
  number       = {4},
  pages        = {1117--1128},
  year         = {2023},
  url          = {https://doi.org/10.1109/JSSC.2022.3228765},
  doi          = {10.1109/JSSC.2022.3228765},
  timestamp    = {Sun, 16 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenKTK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/WangOCKKS23,
  author       = {Dewei Wang and
                  Jonghyun Oh and
                  Gregory K. Chen and
                  Phil C. Knag and
                  Ram K. Krishnamurthy and
                  Mingoo Seok},
  title        = {microASR: 32-{\(\mu\)}W Real-Time Automatic Speech Recognition Chip
                  featuring a Bio-Inspired Neuron Model and Digital SRAM-based Compute-In-Memory
                  Hardware},
  booktitle    = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023,
                  Lisbon, Portugal, September 11-14, 2023},
  pages        = {421--424},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ESSCIRC59616.2023.10268739},
  doi          = {10.1109/ESSCIRC59616.2023.10268739},
  timestamp    = {Mon, 23 Oct 2023 09:15:52 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/WangOCKKS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/WangLCKKS22,
  author       = {Dewei Wang and
                  Chuan{-}Tung Lin and
                  Gregory K. Chen and
                  Phil C. Knag and
                  Ram Kumar Krishnamurthy and
                  Mingoo Seok},
  title        = {{DIMC:} 2219TOPS/W 2569F2/b Digital In-Memory Computing Macro in 28nm
                  Based on Approximate Arithmetic Hardware},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022,
                  San Francisco, CA, USA, February 20-26, 2022},
  pages        = {266--268},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISSCC42614.2022.9731659},
  doi          = {10.1109/ISSCC42614.2022.9731659},
  timestamp    = {Mon, 21 Mar 2022 13:32:47 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/WangLCKKS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsit/ChenKTK22,
  author       = {Gregory K. Chen and
                  Phil C. Knag and
                  Carlos Tokunaga and
                  Ram K. Krishnamurthy},
  title        = {An 8-core {RISC-V} Processor with Compute near Last Level Cache in
                  Intel 4 {CMOS}},
  booktitle    = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology
                  and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022},
  pages        = {68--69},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830518},
  doi          = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830518},
  timestamp    = {Thu, 04 Aug 2022 10:53:40 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsit/ChenKTK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KnagCSKH0KKAKK21,
  author       = {Phil C. Knag and
                  Gregory K. Chen and
                  Huseyin Ekin Sumbul and
                  Raghavan Kumar and
                  Steven K. Hsu and
                  Amit Agarwal and
                  Monodeep Kar and
                  Seongjong Kim and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Ram K. Krishnamurthy},
  title        = {A 617-TOPS/W All-Digital Binary Neural Network Accelerator in 10-nm
                  FinFET {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {56},
  number       = {4},
  pages        = {1082--1092},
  year         = {2021},
  url          = {https://doi.org/10.1109/JSSC.2020.3038616},
  doi          = {10.1109/JSSC.2020.3038616},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/KnagCSKH0KKAKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KumarSKSAKAHCKD20,
  author       = {Raghavan Kumar and
                  Vikram B. Suresh and
                  Monodeep Kar and
                  Sudhir Satpathy and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Gregory K. Chen and
                  Ram K. Krishnamurthy and
                  Vivek De and
                  Sanu K. Mathew},
  title        = {A 4900- {\textdollar}{\textbackslash}mu{\textdollar} m\({}^{\mbox{2}}\)
                  839-Mb/s Side-Channel Attack- Resistant {AES-128} in 14-nm {CMOS}
                  With Heterogeneous Sboxes, Linear Masked MixColumns, and Dual-Rail
                  Key Addition},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {4},
  pages        = {945--955},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2019.2960482},
  doi          = {10.1109/JSSC.2019.2960482},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/KumarSKSAKAHCKD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/0001HRACKKSKKMK20,
  author       = {Amit Agarwal and
                  Steven Hsu and
                  Simeon Realov and
                  Mark A. Anders and
                  Gregory K. Chen and
                  Monodeep Kar and
                  Raghavan Kumar and
                  Huseyin Sumbul and
                  Phil C. Knag and
                  Himanshu Kaul and
                  Sanu Mathew and
                  Mahesh Kumashikar and
                  Ram Krishnamurthy and
                  Vivek De},
  title        = {25.7 Time-Borrowing Fast Mux-D Scan Flip-Flop with On-Chip Timing/Power/VMIN
                  Characterization Circuits in 10nm {CMOS}},
  booktitle    = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC}
                  2020, San Francisco, CA, USA, February 16-20, 2020},
  pages        = {392--394},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISSCC19947.2020.9062941},
  doi          = {10.1109/ISSCC19947.2020.9062941},
  timestamp    = {Thu, 03 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/0001HRACKKSKKMK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/AndersKKCKSKKH020,
  author       = {Mark A. Anders and
                  Himanshu Kaul and
                  Seongjong Kim and
                  Gregory K. Chen and
                  Raghavan Kumar and
                  Huseyin Ekin Sumbul and
                  Phil C. Knag and
                  Monodeep Kar and
                  Steven K. Hsu and
                  Amit Agarwal and
                  Vikram B. Suresh and
                  Sanu K. Mathew and
                  Ram K. Krishnamurthy and
                  Vivek De},
  title        = {25.9 Reconfigurable Transient Current-Mode Global Interconnect Circuits
                  in 10nm {CMOS} for High-Performance Processors with Wide Voltage-Frequency
                  Operating Range},
  booktitle    = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC}
                  2020, San Francisco, CA, USA, February 16-20, 2020},
  pages        = {396--398},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISSCC19947.2020.9063158},
  doi          = {10.1109/ISSCC19947.2020.9063158},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/AndersKKCKSKKH020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/Hsu0RACKKSKKSMR20,
  author       = {Steven Hsu and
                  Amit Agarwal and
                  Simeon Realov and
                  Mark A. Anders and
                  Gregory K. Chen and
                  Monodeep Kar and
                  Raghavan Kumar and
                  Huseyin Sumbul and
                  Phil C. Knag and
                  Himanshu Kaul and
                  Vikram B. Suresh and
                  Sanu Mathew and
                  Iqbal Rajwani and
                  Satish Damaraju and
                  Ram Krishnamurthy and
                  Vivek De},
  title        = {Low-Clock-Power Digital Standard Cell IPs for High-Performance Graphics/AI
                  Processors in 10nm {CMOS}},
  booktitle    = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu,
                  HI, USA, June 16-19, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VLSICircuits18222.2020.9163007},
  doi          = {10.1109/VLSICIRCUITS18222.2020.9163007},
  timestamp    = {Sun, 03 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/Hsu0RACKKSKKSMR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/Kar0HMCKSKAKBSK20,
  author       = {Monodeep Kar and
                  Amit Agarwal and
                  Steven Hsu and
                  David Moloney and
                  Gregory K. Chen and
                  Raghavan Kumar and
                  Huseyin Sumbul and
                  Phil C. Knag and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Jonathan Byrne and
                  Luca Sarti and
                  Ram Krishnamurthy and
                  Vivek De},
  title        = {A Ray-Casting Accelerator in 10nm {CMOS} for Efficient 3D Scene Reconstruction
                  in Edge Robotics and Augmented Reality Applications},
  booktitle    = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu,
                  HI, USA, June 16-19, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VLSICircuits18222.2020.9163067},
  doi          = {10.1109/VLSICIRCUITS18222.2020.9163067},
  timestamp    = {Sun, 03 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/Kar0HMCKSKAKBSK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/KnagCSKAKH0KKK20,
  author       = {Phil C. Knag and
                  Gregory K. Chen and
                  Huseyin Ekin Sumbul and
                  Raghavan Kumar and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Steven K. Hsu and
                  Amit Agarwal and
                  Monodeep Kar and
                  Seongjong Kim and
                  Ram K. Krishnamurthy},
  title        = {A 617 {TOPS/W} All Digital Binary Neural Network Accelerator in 10nm
                  FinFET {CMOS}},
  booktitle    = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu,
                  HI, USA, June 16-19, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VLSICircuits18222.2020.9162949},
  doi          = {10.1109/VLSICIRCUITS18222.2020.9162949},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/KnagCSKAKH0KKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenKSKK19,
  author       = {Gregory K. Chen and
                  Raghavan Kumar and
                  Huseyin Ekin Sumbul and
                  Phil C. Knag and
                  Ram K. Krishnamurthy},
  title        = {A 4096-Neuron 1M-Synapse 3.8-pJ/SOP Spiking Neural Network With On-Chip
                  {STDP} Learning and Sparse Weights in 10-nm FinFET {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {54},
  number       = {4},
  pages        = {992--1002},
  year         = {2019},
  url          = {https://doi.org/10.1109/JSSC.2018.2884901},
  doi          = {10.1109/JSSC.2018.2884901},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenKSKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/NurvitadhiKJBST19,
  author       = {Eriko Nurvitadhi and
                  Dongup Kwon and
                  Ali Jafari and
                  Andrew Boutros and
                  Jaewoong Sim and
                  Phillip Tomson and
                  Huseyin Sumbul and
                  Gregory K. Chen and
                  Phil C. Knag and
                  Raghavan Kumar and
                  Ram Krishnamurthy and
                  Sergey Gribok and
                  Bogdan Pasca and
                  Martin Langhammer and
                  Debbie Marr and
                  Aravind Dasu},
  title        = {Why Compete When You Can Work Together: {FPGA-ASIC} Integration for
                  Persistent RNNs},
  booktitle    = {27th {IEEE} Annual International Symposium on Field-Programmable Custom
                  Computing Machines, {FCCM} 2019, San Diego, CA, USA, April 28 - May
                  1, 2019},
  pages        = {199--207},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/FCCM.2019.00035},
  doi          = {10.1109/FCCM.2019.00035},
  timestamp    = {Sun, 03 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/NurvitadhiKJBST19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/NurvitadhiKJBST19,
  author       = {Eriko Nurvitadhi and
                  Dongup Kwon and
                  Ali Jafari and
                  Andrew Boutros and
                  Jaewoong Sim and
                  Phillip Tomson and
                  Huseyin Sumbul and
                  Gregory K. Chen and
                  Phil C. Knag and
                  Raghavan Kumar and
                  Ram Krishnamurthy and
                  Debbie Marr and
                  Sergey Gribok and
                  Bogdan Pasca and
                  Martin Langhammer and
                  Aravind Dasu},
  editor       = {Kia Bazargan and
                  Stephen Neuendorffer},
  title        = {Evaluating and Enhancing Intel{\textregistered} Stratix{\textregistered}
                  10 FPGAs for Persistent Real-Time {AI}},
  booktitle    = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019},
  pages        = {119},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3289602.3293943},
  doi          = {10.1145/3289602.3293943},
  timestamp    = {Sun, 03 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/NurvitadhiKJBST19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/KumarSKSAKAHCKD19,
  author       = {Raghavan Kumar and
                  Vikram B. Suresh and
                  Monodeep Kar and
                  Sudhir Satpathy and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Gregory K. Chen and
                  Ram Krishnamurthy and
                  Vivek De and
                  Sanu Mathew},
  title        = {A 4900{\texttimes}m\({}^{\mbox{2}}\) 839Mbps Side-Channel Attack Resistant
                  {AES-128} in 14nm {CMOS} with Heterogeneous Sboxes, Linear Masked
                  MixColumns and Dual-Rail Key Addition},
  booktitle    = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019},
  pages        = {234},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/VLSIC.2019.8778041},
  doi          = {10.23919/VLSIC.2019.8778041},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/KumarSKSAKAHCKD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/ChenKSKK18,
  author       = {Gregory K. Chen and
                  Raghavan Kumar and
                  Huseyin Ekin Sumbul and
                  Phil C. Knag and
                  Ram K. Krishnamurthy},
  title        = {A 4096-Neuron 1M-Synapse 3.8PJ/SOP Spiking Neural Network with On-Chip
                  {STDP} Learning and Sparse Weights in 10NM FinFET {CMOS}},
  booktitle    = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June
                  18-22, 2018},
  pages        = {255--256},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/VLSIC.2018.8502423},
  doi          = {10.1109/VLSIC.2018.8502423},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsic/ChenKSKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SatpathyMSAKAHC17,
  author       = {Sudhir Satpathy and
                  Sanu K. Mathew and
                  Vikram B. Suresh and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Gregory K. Chen and
                  Ram K. Krishnamurthy and
                  Vivek K. De},
  title        = {A 4-fJ/b Delay-Hardened Physically Unclonable Function Circuit With
                  Selective Bit Destabilization in 14-nm Trigate {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {940--949},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2636859},
  doi          = {10.1109/JSSC.2016.2636859},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/SatpathyMSAKAHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/YinVCKCCS17,
  author       = {Shihui Yin and
                  Shreyas K. Venkataramanaiah and
                  Gregory K. Chen and
                  Ram Krishnamurthy and
                  Yu Cao and
                  Chaitali Chakrabarti and
                  Jae{-}sun Seo},
  title        = {Algorithm and hardware design of discrete-time spiking neural networks
                  based on back propagation with binary activations},
  booktitle    = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2017, Torino,
                  Italy, October 19-21, 2017},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/BIOCAS.2017.8325230},
  doi          = {10.1109/BIOCAS.2017.8325230},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/biocas/YinVCKCCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1709-06206,
  author       = {Shihui Yin and
                  Shreyas K. Venkataramanaiah and
                  Gregory K. Chen and
                  Ram Krishnamurthy and
                  Yu Cao and
                  Chaitali Chakrabarti and
                  Jae{-}sun Seo},
  title        = {Algorithm and Hardware Design of Discrete-Time Spiking Neural Networks
                  Based on Back Propagation with Binary Activations},
  journal      = {CoRR},
  volume       = {abs/1709.06206},
  year         = {2017},
  url          = {http://arxiv.org/abs/1709.06206},
  eprinttype    = {arXiv},
  eprint       = {1709.06206},
  timestamp    = {Thu, 03 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1709-06206.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MathewJSSNAKAHC16,
  author       = {Sanu K. Mathew and
                  David Johnston and
                  Sudhir Satpathy and
                  Vikram B. Suresh and
                  Paul Newman and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Gregory K. Chen and
                  Ram K. Krishnamurthy},
  title        = {{\(\mathrm{\mu}\)}RNG: {A} 300-950 mV, 323 Gbps/W All-Digital Full-Entropy
                  True Random Number Generator in 14 nm FinFET {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {51},
  number       = {7},
  pages        = {1695--1704},
  year         = {2016},
  url          = {https://doi.org/10.1109/JSSC.2016.2558490},
  doi          = {10.1109/JSSC.2016.2558490},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/MathewJSSNAKAHC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SatpathyMSACKAH16,
  author       = {Sudhir Satpathy and
                  Sanu Mathew and
                  Vikram B. Suresh and
                  Mark A. Anders and
                  Gregory K. Chen and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Ram Krishnamurthy and
                  Vivek De},
  title        = {A 305mV-850mV 400{\(\mu\)}W 45GSamples/J reconfigurable compressive
                  sensing engine with early-termination for ultra-low energy target
                  detection in 14nm tri-gate {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama,
                  Japan, November 7-9, 2016},
  pages        = {253--256},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASSCC.2016.7844183},
  doi          = {10.1109/ASSCC.2016.7844183},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/SatpathyMSACKAH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/KaulAMCSHAK16,
  author       = {Himanshu Kaul and
                  Mark A. Anders and
                  Sanu K. Mathew and
                  Gregory K. Chen and
                  Sudhir Satpathy and
                  Steven Hsu and
                  Amit Agarwal and
                  Ram Krishnamurthy},
  title        = {14.4 {A} 21.5M-query-vectors/s 3.37nJ/vector reconfigurable k-nearest-neighbor
                  accelerator with adaptive precision in 14nm tri-gate {CMOS}},
  booktitle    = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2016, San Francisco, CA, USA, January 31 - February 4, 2016},
  pages        = {260--261},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISSCC.2016.7418006},
  doi          = {10.1109/ISSCC.2016.7418006},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/KaulAMCSHAK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/AgarwalHAMCKSK16,
  author       = {Amit Agarwal and
                  Steven Hsu and
                  Mark A. Anders and
                  Sanu Mathew and
                  Gregory K. Chen and
                  Himanshu Kaul and
                  Sudhir Satpathy and
                  Ram Krishnamurthy},
  title        = {A 350mV-900mV 2.1GHz 0.011mm\({}^{\mbox{2}}\) regular expression matching
                  accelerator with aging-tolerant low-VMIN circuits in 14nm tri-gate
                  {CMOS}},
  booktitle    = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu,
                  HI, USA, June 15-17, 2016},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSIC.2016.7573514},
  doi          = {10.1109/VLSIC.2016.7573514},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/AgarwalHAMCKSK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/MathewSSAKAHCKD16,
  author       = {Sanu Mathew and
                  Sudhir Satpathy and
                  Vikram B. Suresh and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Gregory K. Chen and
                  Ram Krishnamurthy and
                  Vivek De},
  title        = {A 4fJ/bit delay-hardened physically unclonable function circuit with
                  selective bit destabilization in 14nm tri-gate {CMOS}},
  booktitle    = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu,
                  HI, USA, June 15-17, 2016},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSIC.2016.7573554},
  doi          = {10.1109/VLSIC.2016.7573554},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/MathewSSAKAHCKD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/SatpathyMSAKAHC16,
  author       = {Sudhir Satpathy and
                  Sanu Mathew and
                  Vikram B. Suresh and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Gregory K. Chen and
                  Ram Krishnamurthy},
  title        = {250mV-950mV 1.1Tbps/W double-affine mapped Sbox based composite-field
                  {SMS4} encrypt/decrypt accelerator in 14nm tri-gate {CMOS}},
  booktitle    = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu,
                  HI, USA, June 15-17, 2016},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSIC.2016.7573552},
  doi          = {10.1109/VLSIC.2016.7573552},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/SatpathyMSAKAHC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenAKSMHAKDB15,
  author       = {Gregory K. Chen and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Sudhir Satpathy and
                  Sanu K. Mathew and
                  Steven Hsu and
                  Amit Agarwal and
                  Ram Krishnamurthy and
                  Vivek De and
                  Shekhar Borkar},
  title        = {A 340 mV-to-0.9 {V} 20.2 Tb/s Source-Synchronous Hybrid Packet/Circuit-Switched
                  16 {\texttimes} 16 Network-on-Chip in 22 nm Tri-Gate {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {50},
  number       = {1},
  pages        = {59--67},
  year         = {2015},
  url          = {https://doi.org/10.1109/JSSC.2014.2369508},
  doi          = {10.1109/JSSC.2014.2369508},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenAKSMHAKDB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MathewSSAKAHCK15,
  author       = {Sanu Mathew and
                  Sudhir Satpathy and
                  Vikram B. Suresh and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Gregory K. Chen and
                  Ram Krishnamurthy},
  title        = {340 mV-1.1 V, 289 Gbps/W, 2090-Gate NanoAES Hardware Accelerator With
                  Area-Optimized Encrypt/Decrypt {GF(2} 4 {)} 2 Polynomials in 22 nm
                  Tri-Gate {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {50},
  number       = {4},
  pages        = {1048--1058},
  year         = {2015},
  url          = {https://doi.org/10.1109/JSSC.2014.2384039},
  doi          = {10.1109/JSSC.2014.2384039},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MathewSSAKAHCK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/MathewJNSSAKCAH15,
  author       = {Sanu Mathew and
                  David Johnston and
                  Paul Newman and
                  Sudhir Satpathy and
                  Vikram B. Suresh and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Gregory K. Chen and
                  Amit Agarwal and
                  Steven Hsu and
                  Ram Krishnamurthy},
  editor       = {Wolfgang Pribyl and
                  Franz Dielacher and
                  Gernot Hueber},
  title        = {{\(\mu\)}RNG: {A} 300-950mV 323Gbps/W all-digital full-entropy true
                  random number generator in 14nm FinFET {CMOS}},
  booktitle    = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State
                  Circuits Conference, Graz, Austria, September 14-18, 2015},
  pages        = {116--119},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ESSCIRC.2015.7313842},
  doi          = {10.1109/ESSCIRC.2015.7313842},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/MathewJNSSAKCAH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/SatpathyM0KAKCAHK14,
  author       = {Sudhir Satpathy and
                  Sanu Mathew and
                  Jiangtao Li and
                  Patrick Koeberl and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Gregory K. Chen and
                  Amit Agarwal and
                  Steven Hsu and
                  Ram Krishnamurthy},
  title        = {13fJ/bit probing-resilient 250K {PUF} array with soft darkbit masking
                  for 1.94{\%} bit-error in 22nm tri-gate {CMOS}},
  booktitle    = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice
                  Lido, Italy, September 22-26, 2014},
  pages        = {239--242},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ESSCIRC.2014.6942066},
  doi          = {10.1109/ESSCIRC.2014.6942066},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/esscirc/SatpathyM0KAKCAHK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChenAKSMHAKBD14,
  author       = {Gregory K. Chen and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Sudhir Satpathy and
                  Sanu K. Mathew and
                  Steven K. Hsu and
                  Amit Agarwal and
                  Ram K. Krishnamurthy and
                  Shekhar Borkar and
                  Vivek De},
  title        = {16.1 {A} 340mV-to-0.9V 20.2Tb/s source-synchronous hybrid packet/circuit-switched
                  16{\texttimes}16 network-on-chip in 22nm tri-gate {CMOS}},
  booktitle    = {2014 {IEEE} International Conference on Solid-State Circuits Conference,
                  {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA,
                  February 9-13, 2014},
  pages        = {276--277},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSCC.2014.6757432},
  doi          = {10.1109/ISSCC.2014.6757432},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/ChenAKSMHAKBD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/MathewSAKHACPKD14,
  author       = {Sanu K. Mathew and
                  Sudhir Satpathy and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Steven K. Hsu and
                  Amit Agarwal and
                  Gregory K. Chen and
                  R. J. Parker and
                  Ram K. Krishnamurthy and
                  Vivek De},
  title        = {16.2 {A} 0.19pJ/b PVT-variation-tolerant hybrid physically unclonable
                  function circuit for 100{\%} stable secure key generation in 22nm
                  {CMOS}},
  booktitle    = {2014 {IEEE} International Conference on Solid-State Circuits Conference,
                  {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA,
                  February 9-13, 2014},
  pages        = {278--279},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISSCC.2014.6757433},
  doi          = {10.1109/ISSCC.2014.6757433},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/MathewSAKHACPKD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsic/MathewSSKACAHK14,
  author       = {Sanu Mathew and
                  Sudhir Satpathy and
                  Vikram B. Suresh and
                  Himanshu Kaul and
                  Mark A. Anders and
                  Gregory K. Chen and
                  Amit Agarwal and
                  Steven Hsu and
                  Ram Krishnamurthy},
  title        = {340mV-1.1V, 289Gbps/W, 2090-gate NanoAES hardware accelerator with
                  area-optimized encrypt/decrypt GF(2\({}^{\mbox{4}}\))\({}^{\mbox{2}}\)
                  polynomials in 22nm tri-gate {CMOS}},
  booktitle    = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers,
                  Honolulu, HI, USA, June 10-13, 2014},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSIC.2014.6858420},
  doi          = {10.1109/VLSIC.2014.6858420},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsic/MathewSSKACAHK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cacm/DreslinskiFGKSFSLKLWCSBM13,
  author       = {Ronald G. Dreslinski and
                  David Fick and
                  Bharan Giridhar and
                  Gyouho Kim and
                  Sangwon Seo and
                  Matthew Fojtik and
                  Sudhir Satpathy and
                  Yoonmyung Lee and
                  Daeyeon Kim and
                  Nurrachman Liu and
                  Michael Wieckowski and
                  Gregory K. Chen and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Trevor N. Mudge},
  title        = {Centip3De: a many-core prototype exploring 3D integration and near-threshold
                  computing},
  journal      = {Commun. {ACM}},
  volume       = {56},
  number       = {11},
  pages        = {97--104},
  year         = {2013},
  url          = {https://doi.org/10.1145/2524713.2524725},
  doi          = {10.1145/2524713.2524725},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cacm/DreslinskiFGKSFSLKLWCSBM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FickDGKSFSLKLWCMBS13,
  author       = {David Fick and
                  Ronald G. Dreslinski and
                  Bharan Giridhar and
                  Gyouho Kim and
                  Sangwon Seo and
                  Matthew Fojtik and
                  Sudhir Satpathy and
                  Yoonmyung Lee and
                  Daeyeon Kim and
                  Nurrachman Liu and
                  Michael Wieckowski and
                  Gregory K. Chen and
                  Trevor N. Mudge and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Centip3De: {A} Cluster-Based {NTC} Architecture With 64 {ARM} Cortex-M3
                  Cores in 3D Stacked 130 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {48},
  number       = {1},
  pages        = {104--117},
  year         = {2013},
  url          = {https://doi.org/10.1109/JSSC.2012.2222814},
  doi          = {10.1109/JSSC.2012.2222814},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FickDGKSFSLKLWCMBS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FojtikKCLFPSCFBS13,
  author       = {Matthew Fojtik and
                  Daeyeon Kim and
                  Gregory K. Chen and
                  Yu{-}Shiang Lin and
                  David Fick and
                  Junsun Park and
                  Mingoo Seok and
                  Mao{-}Ter Chen and
                  Zhiyoong Foo and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery
                  and Solar Cells},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {48},
  number       = {3},
  pages        = {801--813},
  year         = {2013},
  url          = {https://doi.org/10.1109/JSSC.2012.2233352},
  doi          = {10.1109/JSSC.2012.2233352},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FojtikKCLFPSCFBS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/DreslinskiFGKSFSLKLWCSBM13,
  author       = {Ronald G. Dreslinski and
                  David Fick and
                  Bharan Giridhar and
                  Gyouho Kim and
                  Sangwon Seo and
                  Matthew Fojtik and
                  Sudhir Satpathy and
                  Yoonmyung Lee and
                  Daeyeon Kim and
                  Nurrachman Liu and
                  Michael Wieckowski and
                  Gregory K. Chen and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Trevor N. Mudge},
  title        = {Centip3De: {A} 64-Core, 3D Stacked Near-Threshold System},
  journal      = {{IEEE} Micro},
  volume       = {33},
  number       = {2},
  pages        = {8--16},
  year         = {2013},
  url          = {https://doi.org/10.1109/MM.2013.4},
  doi          = {10.1109/MM.2013.4},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/micro/DreslinskiFGKSFSLKLWCSBM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/GhaedCHWKKLLFKSWBS13,
  author       = {Mohammad Hassan Ghaed and
                  Gregory K. Chen and
                  Razi{-}Ul Haque and
                  Michael Wieckowski and
                  Yejoong Kim and
                  Gyouho Kim and
                  Yoonmyung Lee and
                  Inhee Lee and
                  David Fick and
                  Daeyeon Kim and
                  Mingoo Seok and
                  Kensall D. Wise and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Circuits for a Cubic-Millimeter Energy-Autonomous Wireless Intraocular
                  Pressure Monitor},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {60-I},
  number       = {12},
  pages        = {3152--3162},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCSI.2013.2265973},
  doi          = {10.1109/TCSI.2013.2265973},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/GhaedCHWKKLLFKSWBS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DeOrioFBSBHC12,
  author       = {Andrew DeOrio and
                  David Fick and
                  Valeria Bertacco and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Jin Hu and
                  Gregory K. Chen},
  title        = {A Reliable Routing Architecture and Algorithm for NoCs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {5},
  pages        = {726--739},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2011.2181509},
  doi          = {10.1109/TCAD.2011.2181509},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/DeOrioFBSBHC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hotchips/DreslinskiFGKSF12,
  author       = {Ronald G. Dreslinski and
                  David Fick and
                  Bharan Giridhar and
                  Gyouho Kim and
                  Sangwon Seo and
                  Matthew Fojtik and
                  Sudhir Satpathy and
                  Yoonmyung Lee and
                  Daeyeon Kim and
                  Nurrachman Liu and
                  Michael Wieckowski and
                  Gregory K. Chen and
                  Trevor N. Mudge and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Centip3De: {A} 64-core, 3D stacked, near-threshold system},
  booktitle    = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August
                  27-29, 2012},
  pages        = {1--30},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476490},
  doi          = {10.1109/HOTCHIPS.2012.7476490},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hotchips/DreslinskiFGKSF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/FickDGKSFSLKLWCMSB12,
  author       = {David Fick and
                  Ronald G. Dreslinski and
                  Bharan Giridhar and
                  Gyouho Kim and
                  Sangwon Seo and
                  Matthew Fojtik and
                  Sudhir Satpathy and
                  Yoonmyung Lee and
                  Daeyeon Kim and
                  Nurrachman Liu and
                  Michael Wieckowski and
                  Gregory K. Chen and
                  Trevor N. Mudge and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Centip3De: {A} 3930DMIPS/W configurable near-threshold 3D stacked
                  system with 64 {ARM} Cortex-M3 cores},
  booktitle    = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC}
                  2012, San Francisco, CA, USA, February 19-23, 2012},
  pages        = {190--192},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSCC.2012.6176970},
  doi          = {10.1109/ISSCC.2012.6176970},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isscc/FickDGKSFSLKLWCMSB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rws/GhaedCBS12,
  author       = {Hassan Ghaed and
                  Gregory K. Chen and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Analysis and measurement of the stability of dual-resonator oscillators},
  booktitle    = {2012 {IEEE} Radio and Wireless Symposium, {RWS} 2012, Santa Clara,
                  CA, USA, January 15-18, 2012},
  pages        = {219--222},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/RWS.2012.6175312},
  doi          = {10.1109/RWS.2012.6175312},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/rws/GhaedCBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/SeokCHWBS11,
  author       = {Mingoo Seok and
                  Gregory K. Chen and
                  Scott Hanson and
                  Michael Wieckowski and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {{CAS-FEST} 2010: Mitigating Variability in Near-Threshold Computing},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {1},
  number       = {1},
  pages        = {42--49},
  year         = {2011},
  url          = {https://doi.org/10.1109/JETCAS.2011.2135550},
  doi          = {10.1109/JETCAS.2011.2135550},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/SeokCHWBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenWKBS11,
  author       = {Gregory K. Chen and
                  Michael Wieckowski and
                  Daeyeon Kim and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A dense 45nm half-differential {SRAM} with lower minimum operating
                  voltage},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937500},
  doi          = {10.1109/ISCAS.2011.5937500},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenWKBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/KimCFSBS11,
  author       = {Daeyeon Kim and
                  Gregory K. Chen and
                  Matthew Fojtik and
                  Mingoo Seok and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A 1.85fW/bit ultra low leakage 10T {SRAM} with speed compensation
                  scheme},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2011), May
                  15-19 2011, Rio de Janeiro, Brazil},
  pages        = {69--72},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISCAS.2011.5937503},
  doi          = {10.1109/ISCAS.2011.5937503},
  timestamp    = {Tue, 31 Jul 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/KimCFSBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WieckowskiCKBS11,
  author       = {Michael Wieckowski and
                  Gregory K. Chen and
                  Daeyeon Kim and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A 128kb high density portless {SRAM} using hierarchical bitlines and
                  thyristor sense amplifiers},
  booktitle    = {Proceedings of the 12th International Symposium on Quality Electronic
                  Design, {ISQED} 2011, Santa Clara, California, USA, 14-16 March 2011},
  pages        = {87--90},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISQED.2011.5770708},
  doi          = {10.1109/ISQED.2011.5770708},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/WieckowskiCKBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChenGHWKKFKSWBS11,
  author       = {Gregory K. Chen and
                  Hassan Ghaed and
                  Razi{-}Ul Haque and
                  Michael Wieckowski and
                  Yejoong Kim and
                  Gyouho Kim and
                  David Fick and
                  Daeyeon Kim and
                  Mingoo Seok and
                  Kensall D. Wise and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A cubic-millimeter energy-autonomous wireless intraocular pressure
                  monitor},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011,
                  Digest of Technical Papers, San Francisco, CA, USA, 20-24 February,
                  2011},
  pages        = {310--312},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSCC.2011.5746332},
  doi          = {10.1109/ISSCC.2011.5746332},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChenGHWKKFKSWBS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/ChenHBS10,
  author       = {Gregory K. Chen and
                  Scott Hanson and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Circuit Design Advances for Wireless Sensing Applications},
  journal      = {Proc. {IEEE}},
  volume       = {98},
  number       = {11},
  pages        = {1808--1827},
  year         = {2010},
  url          = {https://doi.org/10.1109/JPROC.2010.2053333},
  doi          = {10.1109/JPROC.2010.2053333},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/ChenHBS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChenSBM10,
  author       = {Gregory K. Chen and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Trevor N. Mudge},
  title        = {Yield-Driven Near-Threshold {SRAM} Design},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {18},
  number       = {11},
  pages        = {1590--1598},
  year         = {2010},
  url          = {https://doi.org/10.1109/TVLSI.2009.2025766},
  doi          = {10.1109/TVLSI.2009.2025766},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChenSBM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/JoshiWCBS10,
  author       = {Vivek Joshi and
                  Michael Wieckowski and
                  Gregory K. Chen and
                  David T. Blaauw and
                  Dennis Sylvester},
  editor       = {Jacqueline Snyder and
                  Rakesh Patel and
                  Tom Andre},
  title        = {Analyzing the impact of Double Patterning Lithography on {SRAM} variability
                  in 45nm {CMOS}},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose,
                  California, USA, 19-22 September, 2010, Proceedings},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/CICC.2010.5617623},
  doi          = {10.1109/CICC.2010.5617623},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/JoshiWCBS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/LeeCHSB10,
  author       = {Yoonmyung Lee and
                  Gregory K. Chen and
                  Scott Hanson and
                  Dennis Sylvester and
                  David T. Blaauw},
  editor       = {Jacqueline Snyder and
                  Rakesh Patel and
                  Tom Andre},
  title        = {Ultra-low power circuit techniques for a new class of sub-mm\({}^{\mbox{3}}\)
                  sensor nodes},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose,
                  California, USA, 19-22 September, 2010, Proceedings},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/CICC.2010.5617423},
  doi          = {10.1109/CICC.2010.5617423},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/LeeCHSB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esscirc/ChenWBS10,
  author       = {Gregory K. Chen and
                  Michael Wieckowski and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Crosshairs {SRAM} - An adaptive memory for mitigating parametric failures},
  booktitle    = {36th European Solid-State Circuits Conference, {ESSCIRC} 2010, Sevilla,
                  Spain, September 13-17, 2010},
  pages        = {366--369},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ESSCIRC.2010.5619719},
  doi          = {10.1109/ESSCIRC.2010.5619719},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/esscirc/ChenWBS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/SeokHWCLBS10,
  author       = {Mingoo Seok and
                  Scott Hanson and
                  Michael Wieckowski and
                  Gregory K. Chen and
                  Yu{-}Shiang Lin and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {Circuit design advances to enable ubiquitous sensing environments},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {285--288},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537867},
  doi          = {10.1109/ISCAS.2010.5537867},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/SeokHWCLBS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/ChenFKFPSCFSB10,
  author       = {Gregory K. Chen and
                  Matthew Fojtik and
                  Daeyeon Kim and
                  David Fick and
                  Junsun Park and
                  Mingoo Seok and
                  Mao{-}Ter Chen and
                  Zhiyoong Foo and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {Millimeter-scale nearly perpetual sensor system with stacked battery
                  and solar cells},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010,
                  Digest of Technical Papers, San Francisco, CA, USA, 7-11 February,
                  2010},
  pages        = {288--289},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISSCC.2010.5433921},
  doi          = {10.1109/ISSCC.2010.5433921},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/ChenFKFPSCFSB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/FickDCBSB09,
  author       = {David Fick and
                  Andrew DeOrio and
                  Gregory K. Chen and
                  Valeria Bertacco and
                  Dennis Sylvester and
                  David T. Blaauw},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {A highly resilient routing algorithm for fault-tolerant NoCs},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {21--26},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090627},
  doi          = {10.1109/DATE.2009.5090627},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/FickDCBSB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/DreslinskiCMBSF08,
  author       = {Ronald G. Dreslinski and
                  Gregory K. Chen and
                  Trevor N. Mudge and
                  David T. Blaauw and
                  Dennis Sylvester and
                  Kriszti{\'{a}}n Flautner},
  title        = {Reconfigurable energy efficient near threshold cache architectures},
  booktitle    = {41st Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-41} 2008), November 8-12, 2008, Lake Como, Italy},
  pages        = {459--470},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/MICRO.2008.4771813},
  doi          = {10.1109/MICRO.2008.4771813},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/DreslinskiCMBSF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenBMSK07,
  author       = {Gregory K. Chen and
                  David T. Blaauw and
                  Trevor N. Mudge and
                  Dennis Sylvester and
                  Nam Sung Kim},
  editor       = {Georges G. E. Gielen},
  title        = {Yield-driven near-threshold {SRAM} design},
  booktitle    = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007,
                  San Jose, CA, USA, November 5-8, 2007},
  pages        = {660--666},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCAD.2007.4397341},
  doi          = {10.1109/ICCAD.2007.4397341},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenBMSK07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics