Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Mohamed I. Elmasry
@inproceedings{DBLP:conf/iisa/NachawiGE21, author = {Salam I. Nachawi and Vincent C. Gaudet and Mohamed I. Elmasry}, editor = {Nikolaos G. Bourbakis and George A. Tsihrintzis and Maria Virvou}, title = {A Teaching Assistant for Microelectronic Circuits Problems}, booktitle = {12th International Conference on Information, Intelligence, Systems {\&} Applications, {IISA} 2021, Chania Crete, Greece, July 12-14, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISA52424.2021.9555515}, doi = {10.1109/IISA52424.2021.9555515}, timestamp = {Wed, 08 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iisa/NachawiGE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismvl/HusseinEG17, author = {Assem S. Hussein and Mohamed I. Elmasry and Vincent C. Gaudet}, title = {On the Fault Tolerance of Stochastic Decoders}, booktitle = {47th {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL} 2017, Novi Sad, Serbia, May 22-24, 2017}, pages = {219--223}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISMVL.2017.50}, doi = {10.1109/ISMVL.2017.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismvl/HusseinEG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icm2/HusseinGME16, author = {Assem S. Hussein and Vincent C. Gaudet and Hassan Mostafa and Mohamed I. Elmasry}, title = {A 16-bit high-speed low-power hybrid adder}, booktitle = {28th International Conference on Microelectronics, {ICM} 2016, Giza, Egypt, December 17-20, 2016}, pages = {313--316}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICM.2016.7847878}, doi = {10.1109/ICM.2016.7847878}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icm2/HusseinGME16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccece/MostafaAE14, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Negative capacitance circuits for process variations compensation and timing yield improvement}, booktitle = {{IEEE} 27th Canadian Conference on Electrical and Computer Engineering, {CCECE} 2014, Toronto, ON, Canada, May 4-7, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CCECE.2014.6900929}, doi = {10.1109/CCECE.2014.6900929}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccece/MostafaAE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MostafaAE13, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Statistical {SRAM} Read Access Yield Improvement Using Negative Capacitance Circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {1}, pages = {92--101}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2011.2178046}, doi = {10.1109/TVLSI.2011.2178046}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MostafaAE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/MostafaAE13, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Negative capacitance circuits for process variations compensation and timing yield improvement}, booktitle = {20th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2013, Abu Dhabi, UAE, December 8-11, 2013}, pages = {277--280}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICECS.2013.6815408}, doi = {10.1109/ICECS.2013.6815408}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/MostafaAE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MostafaAE12, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {On-Chip Process Variations Compensation Using an Analog Adaptive Body Bias {(A-ABB)}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {4}, pages = {770--774}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2107583}, doi = {10.1109/TVLSI.2011.2107583}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MostafaAE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MostafaAE11, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Novel Timing Yield Improvement Circuits for High-Performance Low-Power Wide Fan-In Dynamic {OR} Gates}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {8}, pages = {1785--1797}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2011.2107171}, doi = {10.1109/TCSI.2011.2107171}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MostafaAE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MostafaAE11a, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Adaptive Body Bias for Reducing the Impacts of {NBTI} and Process Variations on 6T {SRAM} Cells}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {12}, pages = {2859--2871}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2011.2158708}, doi = {10.1109/TCSI.2011.2158708}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MostafaAE11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MostafaAE11, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Analytical Soft Error Models Accounting for Die-to-Die and Within-Die Variations in Sub-Threshold {SRAM} Cells}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {2}, pages = {182--195}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2009.2033697}, doi = {10.1109/TVLSI.2009.2033697}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MostafaAE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MostafaAE11a, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {A Novel Low Area Overhead Direct Adaptive Body Bias {(D-ABB)} Circuit for Die-to-Die and Within-Die Variations Compensation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {10}, pages = {1848--1860}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2060503}, doi = {10.1109/TVLSI.2010.2060503}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MostafaAE11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MostafaAE11b, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {A Bias-Dependent Model for the Impact of Process Variations on the {SRAM} Soft Error Immunity}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {11}, pages = {2130--2134}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2068317}, doi = {10.1109/TVLSI.2010.2068317}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MostafaAE11b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/El-AbdHAKE10, author = {Mohammed El{-}Abd and Hassan Hassan and Mohab Anis and Mohamed S. Kamel and Mohamed I. Elmasry}, title = {Discrete cooperative particle swarm optimization for {FPGA} placement}, journal = {Appl. Soft Comput.}, volume = {10}, number = {1}, pages = {284--295}, year = {2010}, url = {https://doi.org/10.1016/j.asoc.2009.07.011}, doi = {10.1016/J.ASOC.2009.07.011}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/El-AbdHAKE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YoussefYAAVE10, author = {Ahmed Youssef and Zhen Yang and Mohab Anis and Shawki Areibi and Anthony Vannelli and Mohamed I. Elmasry}, title = {A Power-Efficient Multipin ILP-Based Routing Technique}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {57-I}, number = {1}, pages = {225--235}, year = {2010}, url = {https://doi.org/10.1109/TCSI.2009.2015602}, doi = {10.1109/TCSI.2009.2015602}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YoussefYAAVE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MostafaAE10, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {A Design-Oriented Soft Error Rate Variation Model Accounting for Both Die-to-Die and Within-Die Variations in Submicrometer {CMOS} {SRAM} Cells}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {57-I}, number = {6}, pages = {1298--1311}, year = {2010}, url = {https://doi.org/10.1109/TCSI.2009.2033528}, doi = {10.1109/TCSI.2009.2033528}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MostafaAE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YoussefZAE10, author = {Ahmed Youssef and Mohamed Zahran and Mohab Anis and Mohamed I. Elmasry}, title = {On the Power Management of Simultaneous Multithreading Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {8}, pages = {1243--1248}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2020727}, doi = {10.1109/TVLSI.2009.2020727}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YoussefZAE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MostafaAE10, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Comparative analysis of power yield improvement under process variation of sub-threshold flip-flops}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1739--1742}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537544}, doi = {10.1109/ISCAS.2010.5537544}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MostafaAE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MostafaAE10a, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Statistical timing yield improvement of dynamic circuits using negative capacitance technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1747--1750}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537560}, doi = {10.1109/ISCAS.2010.5537560}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MostafaAE10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MoezE09, author = {Kambiz K. Moez and Mohamed I. Elmasry}, title = {A New Loss Compensation Technique for {CMOS} Distributed Amplifiers}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {56-II}, number = {3}, pages = {185--189}, year = {2009}, url = {https://doi.org/10.1109/TCSII.2009.2015362}, doi = {10.1109/TCSII.2009.2015362}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MoezE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HassanAE09, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {Total Power Modeling in FPGAs Under Spatial Correlation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {4}, pages = {578--582}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2005307}, doi = {10.1109/TVLSI.2008.2005307}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HassanAE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/MostafaAE09, author = {Hassan Mostafa and Mohab Anis and Mohamed I. Elmasry}, title = {Comparative Analysis of Timing Yield Improvement under Process Variations of Flip-Flops Circuits}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2009, 13-15 May 2009, Tampa, Florida, {USA}}, pages = {133--138}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISVLSI.2009.23}, doi = {10.1109/ISVLSI.2009.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/MostafaAE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/IsmailE08, author = {Ayman H. Ismail and Mohamed I. Elmasry}, title = {A 6-Bit 1.6-GS/sLow-Power Wideband Flash {ADC} Converter in 0.13-{\(\mathrm{\mu}\)}m {CMOS} Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {9}, pages = {1982--1990}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2001936}, doi = {10.1109/JSSC.2008.2001936}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/IsmailE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HassanAE08, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {Input Vector Reordering for Leakage Power Reduction in FPGAs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {9}, pages = {1555--1564}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.927673}, doi = {10.1109/TCAD.2008.927673}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HassanAE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MoezE08, author = {Kambiz K. Moez and Mohamed I. Elmasry}, title = {A Low-Noise {CMOS} Distributed Amplifier for Ultra-Wide-Band Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {55-II}, number = {2}, pages = {126--130}, year = {2008}, url = {https://doi.org/10.1109/TCSII.2007.910968}, doi = {10.1109/TCSII.2007.910968}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MoezE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/IsmailE08, author = {Ayman H. Ismail and Mohamed I. Elmasry}, title = {Analysis of the Flash {ADC} Bandwidth-Accuracy Tradeoff in Deep-Submicron {CMOS} Technologies}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {55-II}, number = {10}, pages = {1001--1005}, year = {2008}, url = {https://doi.org/10.1109/TCSII.2008.2001979}, doi = {10.1109/TCSII.2008.2001979}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/IsmailE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YoussefAE08, author = {Ahmed Youssef and Mohab Anis and Mohamed I. Elmasry}, title = {A Comparative Study Between Static and Dynamic Sleep Signal Generation Techniques for Leakage Tolerant Designs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {9}, pages = {1114--1126}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000730}, doi = {10.1109/TVLSI.2008.2000730}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YoussefAE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HassanAE07, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {A Timing-Driven Algorithm for Leakage Reduction in {MTCMOS} FPGAs}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {678--683}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358065}, doi = {10.1109/ASPDAC.2007.358065}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/HassanAE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/YoussefMAE07, author = {Ahmed Youssef and Tor Myklebust and Mohab Anis and Mohamed I. Elmasry}, title = {A Low-Power Multi-Pin Maze Routing Methodology}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {153--158}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.15}, doi = {10.1109/ISQED.2007.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/YoussefMAE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MoezE07, author = {Kambiz K. Moez and Mohamed I. Elmasry}, title = {A 10dB 44GHz Loss-Compensated {CMOS} Distributed Amplifier}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {548--621}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373537}, doi = {10.1109/ISSCC.2007.373537}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MoezE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/HassanAE06, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {Impact of technology scaling and process variations on {RF} {CMOS} devices}, journal = {Microelectron. J.}, volume = {37}, number = {4}, pages = {275--282}, year = {2006}, url = {https://doi.org/10.1016/j.mejo.2005.07.013}, doi = {10.1016/J.MEJO.2005.07.013}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/HassanAE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/HassanAE06a, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {Low-power multi-threshold {MCML:} Analysis, design, and variability}, journal = {Microelectron. J.}, volume = {37}, number = {10}, pages = {1097--1104}, year = {2006}, url = {https://doi.org/10.1016/j.mejo.2006.03.009}, doi = {10.1016/J.MEJO.2006.03.009}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/HassanAE06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/MoezE06, author = {Kambiz K. Moez and Mohamed I. Elmasry}, title = {Lumped-element analysis and design of {CMOS} distributed amplifiers with image impedance termination}, journal = {Microelectron. J.}, volume = {37}, number = {10}, pages = {1136--1145}, year = {2006}, url = {https://doi.org/10.1016/j.mejo.2006.04.012}, doi = {10.1016/J.MEJO.2006.04.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/MoezE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoezE06, author = {Kambiz K. Moez and Mohamed I. Elmasry}, editor = {Georges G. E. Gielen}, title = {A 10-GHz 15-dB four-stage distributed amplifier in 0.18 {\(\mathrm{\mu}\)}m {CMOS} process}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {405--409}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243766}, doi = {10.1109/DATE.2006.243766}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MoezE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsmailE06, author = {Ayman H. Ismail and Mohamed I. Elmasry}, title = {A termination technique for the averaging network of flash ADC's}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693336}, doi = {10.1109/ISCAS.2006.1693336}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IsmailE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoezE06, author = {Kambiz K. Moez and Mohammad Ibrahim Elmasry}, title = {A novel loss compensation technique for broadband {CMOS} distributed amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692915}, doi = {10.1109/ISCAS.2006.1692915}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoezE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/YoussefAE06, author = {Ahmed Youssef and Mohab Anis and Mohamed I. Elmasry}, title = {Dynamic Standby Prediction for Leakage Tolerant Microprocessor Functional Units}, booktitle = {39th Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-39} 2006), 9-13 December 2006, Orlando, Florida, {USA}}, pages = {371--384}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MICRO.2006.22}, doi = {10.1109/MICRO.2006.22}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/YoussefAE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/HassanAE05, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {Design and optimization of {MOS} current mode logic for parameter variations}, journal = {Integr.}, volume = {38}, number = {3}, pages = {417--437}, year = {2005}, url = {https://doi.org/10.1016/j.vlsi.2004.07.014}, doi = {10.1016/J.VLSI.2004.07.014}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/HassanAE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/El-DibE05, author = {Dalia A. El{-}Dib and Mohamed I. Elmasry}, title = {Memoryless Viterbi decoder}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {52-II}, number = {12}, pages = {826--830}, year = {2005}, url = {https://doi.org/10.1109/TCSII.2005.853892}, doi = {10.1109/TCSII.2005.853892}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/El-DibE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YoussefAE05, author = {Ahmed Youssef and Mohab Anis and Mohamed I. Elmasry}, title = {{POMR:} a power-aware interconnect optimization methodology}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {13}, number = {3}, pages = {297--307}, year = {2005}, url = {https://doi.org/10.1109/TVLSI.2004.842901}, doi = {10.1109/TVLSI.2004.842901}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YoussefAE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HassanAE05, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {{MOS} current mode circuits: analysis, design, and variability}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {13}, number = {8}, pages = {885--898}, year = {2005}, url = {https://doi.org/10.1109/TVLSI.2005.853609}, doi = {10.1109/TVLSI.2005.853609}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HassanAE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HassanADE05, author = {Hassan Hassan and Mohab Anis and Antoine El Daher and Mohamed I. Elmasry}, title = {Activity Packing in FPGAs for Leakage Power Reduction}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {212--217}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.48}, doi = {10.1109/DATE.2005.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HassanADE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/HassanAE05, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, editor = {Herman Schmit and Steven J. E. Wilton}, title = {A leakage-aware {CAD} flow for {MTCMOS} {FPGA} architectures (abstract only)}, booktitle = {Proceedings of the {ACM/SIGDA} 13th International Symposium on Field Programmable Gate Arrays, {FPGA} 2005, Monterey, California, USA, February 20-22, 2005}, pages = {267}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1046192.1046237}, doi = {10.1145/1046192.1046237}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/HassanAE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HassanAE05, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, editor = {Kaushik Roy and Vivek Tiwari}, title = {{LAP:} a logic activity packing methodology for leakage power-tolerant FPGAs}, booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}, pages = {257--262}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1077603.1077664}, doi = {10.1145/1077603.1077664}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/HassanAE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsoc/GhafariMAAE05, author = {Payam Ghafari and Ehsan Mirhadi and Mohab Anis and Shawki Areibi and Mohamed I. Elmasry}, title = {A Low-Power Partitioning Methodology by Maximizing Sleep Time and Minimizing Cut Nets}, booktitle = {Proceedings of the 5th {IEEE} International Workshop on System-on-Chip for Real-Time Applications {(IWSOC} 2005), 20-24 July 2004, Banff, Alberta, Canada}, pages = {368--371}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IWSOC.2005.15}, doi = {10.1109/IWSOC.2005.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwsoc/GhafariMAAE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/El-DibE04, author = {Dalia A. El{-}Dib and Mohamed I. Elmasry}, title = {Modified register-exchange Viterbi decoder for low-power wireless communications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {51-I}, number = {2}, pages = {371--378}, year = {2004}, url = {https://doi.org/10.1109/TCSI.2003.822396}, doi = {10.1109/TCSI.2003.822396}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/El-DibE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ElSayedE04, author = {Ayman ElSayed and Mohamed I. Elmasry}, title = {Phase-domain fractional-N frequency synthesizers}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {51-I}, number = {3}, pages = {440--449}, year = {2004}, url = {https://doi.org/10.1109/TCSI.2003.820241}, doi = {10.1109/TCSI.2003.820241}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ElSayedE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HassanAE04, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Design and optimization of {MOS} current mode logic for parameter variations}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {33--38}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.988961}, doi = {10.1145/988952.988961}, timestamp = {Fri, 20 Aug 2021 16:30:37 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/HassanAE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoezE04, author = {Kambiz K. Moez and Mohammad Ibrahim Elmasry}, title = {A novel matrix-based lumped-element analysis method for {CMOS} distributed amplifiers}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {1048--1051}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISCAS.2004.1328378}, doi = {10.1109/ISCAS.2004.1328378}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoezE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HassanAE04, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {Analysis and design of low-power multi-threshold {MCML}}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {25--29}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362338}, doi = {10.1109/SOCC.2004.1362338}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/HassanAE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/IsmailE04, author = {Ayman H. Ismail and Mohamed I. Elmasry}, title = {Analog-to-digital conversion for {SONET} {OC-192}}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {41--44}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362344}, doi = {10.1109/SOCC.2004.1362344}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/IsmailE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YoussefAE04, author = {Ahmed Youssef and Mohab Anis and Mohamed I. Elmasry}, title = {{POMR:} a power-optimal maze routing methodology}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {73--77}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362355}, doi = {10.1109/SOCC.2004.1362355}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YoussefAE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HassanAE04a, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {Impact of technology scaling on {RF} {CMOS}}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {97--101}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362363}, doi = {10.1109/SOCC.2004.1362363}, timestamp = {Wed, 20 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HassanAE04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/HassanAE04b, author = {Hassan Hassan and Mohab Anis and Mohamed I. Elmasry}, title = {{MOS} current mode logic: design, optimization, and variability}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {247--250}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362424}, doi = {10.1109/SOCC.2004.1362424}, timestamp = {Wed, 20 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/HassanAE04b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AnisAE03, author = {Mohab Anis and Shawki Areibi and Mohamed I. Elmasry}, title = {Design and optimization of multithreshold {CMOS} {(MTCMOS)} circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {10}, pages = {1324--1342}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.818127}, doi = {10.1109/TCAD.2003.818127}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AnisAE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/FahimE03, author = {Amr M. Fahim and Mohamed I. Elmasry}, title = {A wideband sigma-delta phase-locked-loop modulator for wireless applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {50}, number = {2}, pages = {53--62}, year = {2003}, url = {https://doi.org/10.1109/TCSII.2003.809709}, doi = {10.1109/TCSII.2003.809709}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/FahimE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/FahimE03a, author = {Amr M. Fahim and Mohamed I. Elmasry}, title = {A fast lock digital phase-locked-loop architecture for wireless applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {50}, number = {2}, pages = {63--72}, year = {2003}, url = {https://doi.org/10.1109/TCSII.2003.809711}, doi = {10.1109/TCSII.2003.809711}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/FahimE03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icae/MasoumiSE02, author = {Nasser Masoumi and Safieddin Safavi{-}Naeini and Mohamed I. Elmasry}, title = {A methodology for substrate crosstalk evaluation for system-on-a-chip}, journal = {Integr. Comput. Aided Eng.}, volume = {9}, number = {2}, pages = {129--147}, year = {2002}, url = {https://doi.org/10.3233/ica-2002-9204}, doi = {10.3233/ICA-2002-9204}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icae/MasoumiSE02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FahimE02, author = {Amr M. Fahim and Mohamed I. Elmasry}, title = {Low-power high-performance arithmetic circuits and architectures}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {1}, pages = {90--94}, year = {2002}, url = {https://doi.org/10.1109/4.974550}, doi = {10.1109/4.974550}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/FahimE02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AnisAE02, author = {Mohab Anis and Mohamed W. Allam and Mohamed I. Elmasry}, title = {Energy-efficient noise-tolerant dynamic styles for scaled-down {CMOS} and {MTCMOS} technologies}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {10}, number = {2}, pages = {71--78}, year = {2002}, url = {https://doi.org/10.1109/92.994977}, doi = {10.1109/92.994977}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/AnisAE02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AnisMEA02, author = {Mohab Anis and Mohamed Mahmoud and Mohamed I. Elmasry and Shawki Areibi}, title = {Dynamic and leakage power reduction in {MTCMOS} circuits using an automated efficient gate clustering technique}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {480--485}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514041}, doi = {10.1145/513918.514041}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AnisMEA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/delta/MasoumiESH02, author = {Nasser Masoumi and Mohamed I. Elmasry and Safieddin Safavi{-}Naeini and Haydar Hadi}, title = {A Novel Analytical Model for Evaluation of Substrate Crosstalk in {VLSI} Circuits}, booktitle = {1st {IEEE} International Workshop on Electronic Design, Test and Applications {(DELTA} 2002), 29-31 January 2002, Christchurch, New Zealand}, pages = {355--359}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DELTA.2002.994649}, doi = {10.1109/DELTA.2002.994649}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/delta/MasoumiESH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnisE02, author = {Mohab Anis and Mohamed I. Elmasry}, title = {Self-timed {MOS} current mode logic for digital applications}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {113--116}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010653}, doi = {10.1109/ISCAS.2002.1010653}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnisE02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HusseinE02, author = {A. E. Hussein and Mohamed I. Elmasry}, title = {Fractional-N frequency synthesizer for wireless communications}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {513--516}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010505}, doi = {10.1109/ISCAS.2002.1010505}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HusseinE02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ei-DibE02, author = {D. A. F. Ei{-}Dib and Mohamed I. Elmasry}, title = {Low-power register-exchange Viterbi decoder for high-speed wireless communications}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {737--740}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010809}, doi = {10.1109/ISCAS.2002.1010809}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ei-DibE02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AllamE01, author = {Mohamed W. Allam and Mohamed I. Elmasry}, title = {Dynamic current mode logic (DyCML): a new low-power high-performance logic style}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {3}, pages = {550--558}, year = {2001}, url = {https://doi.org/10.1109/4.910495}, doi = {10.1109/4.910495}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AllamE01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KhellahE01, author = {Muhammad M. Khellah and Mohamed I. Elmasry}, title = {A low-power high-performance current-mode multiport {SRAM}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {5}, pages = {590--598}, year = {2001}, url = {https://doi.org/10.1109/92.953493}, doi = {10.1109/92.953493}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KhellahE01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElrabaaE01, author = {Muhammad E. S. Elrabaa and Mohamed I. Elmasry}, title = {Split-Gate Logic circuits for multi-threshold technologies}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {798--801}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.922358}, doi = {10.1109/ISCAS.2001.922358}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElrabaaE01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BellaouarOFE00, author = {Abdellatif Bellaouar and Michael S. O'brecht and Amr M. Fahim and Mohamed I. Elmasry}, title = {Low-power direct digital frequency synthesis for wireless communications}, journal = {{IEEE} J. Solid State Circuits}, volume = {35}, number = {3}, pages = {385--390}, year = {2000}, url = {https://doi.org/10.1109/4.826821}, doi = {10.1109/4.826821}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BellaouarOFE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MasoumiES00, author = {Nasser Masoumi and Mohamed I. Elmasry and Safieddin Safavi{-}Naeini}, title = {Fast and efficient parametric modeling of contact-to-substratecoupling}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {11}, pages = {1282--1292}, year = {2000}, url = {https://doi.org/10.1109/43.892852}, doi = {10.1109/43.892852}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MasoumiES00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WhiteE00, author = {Brian A. White and Mohamed I. Elmasry}, title = {Low-power design of decimation filters for a digital {IF} receiver}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {8}, number = {3}, pages = {339--345}, year = {2000}, url = {https://doi.org/10.1109/92.845900}, doi = {10.1109/92.845900}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WhiteE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/AllamAE00, author = {Mohamed W. Allam and Mohab H. Anis and Mohamed I. Elmasry}, title = {Effect of technology scaling on digital {CMOS} logic styles}, booktitle = {Proceedings of the {IEEE} 2000 Custom Integrated Circuits Conference, {CICC} 2000, Orlando, FL, USA, May 21-24, 2000}, pages = {401--408}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CICC.2000.852695}, doi = {10.1109/CICC.2000.852695}, timestamp = {Mon, 10 Oct 2022 09:13:21 +0200}, biburl = {https://dblp.org/rec/conf/cicc/AllamAE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/AllamE00, author = {Mohamed W. Allam and Mohamed I. Elmasry}, title = {Dynamic current mode logic (DyCML), a new low-power high-performance logic family}, booktitle = {Proceedings of the {IEEE} 2000 Custom Integrated Circuits Conference, {CICC} 2000, Orlando, FL, USA, May 21-24, 2000}, pages = {421--424}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CICC.2000.852699}, doi = {10.1109/CICC.2000.852699}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/AllamE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HafezE00, author = {Amr N. Hafez and Mohamed I. Elmasry}, title = {A fully-integrated low phase-noise nested-loop {PLL} for frequency synthesis}, booktitle = {Proceedings of the {IEEE} 2000 Custom Integrated Circuits Conference, {CICC} 2000, Orlando, FL, USA, May 21-24, 2000}, pages = {589--592}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CICC.2000.852737}, doi = {10.1109/CICC.2000.852737}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HafezE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HusseinE00, author = {A. E. Hussein and Mohamed I. Elmasry}, editor = {Majid Sarrafzadeh and Prithviraj Banerjee and Kaushik Roy}, title = {Low power high speed analog-to-digital converter for wireless communications}, booktitle = {Proceedings of the 10th {ACM} Great Lakes Symposium on {VLSI} 2000, Chicago, Illinois, USA, March 2-4, 2000}, pages = {113--116}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/330855.331016}, doi = {10.1145/330855.331016}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/HusseinE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MasoumiSE00, author = {Nasser Masoumi and Safieddin Safavi{-}Naeini and Mohamed I. Elmasry}, title = {An Efficient and Accurate Model for RF/Microwave Spiral Inductors Using Microstrip Lines Theory}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design: {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas, USA, September 17-20, 2000}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCD.2000.878278}, doi = {10.1109/ICCD.2000.878278}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MasoumiSE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElrabaAE00, author = {Muhammad E. S. Elrabaa and Mohab H. Anis and Mohamed I. Elmasry}, title = {A contention-free domino logic for scaled-down {CMOS} technologies with ultra low threshold voltages}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {748--751}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857204}, doi = {10.1109/ISCAS.2000.857204}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElrabaAE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AllamAE00, author = {Mohamed W. Allam and Mohab Anis and Mohamed I. Elmasry}, editor = {David T. Blaauw and Christian C. Enz and Thaddeus Gabara and Enrico Macii}, title = {High-speed dynamic logic styles for scaled-down {CMOS} and {MTCMOS} technologies}, booktitle = {Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000}, pages = {155--160}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/344166.344562}, doi = {10.1145/344166.344562}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/AllamAE00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/BellaouarOFE99, author = {Abdellatif Bellaouar and Michael S. Obrecht and Amr M. Fahim and Mohamed I. Elmasry}, title = {A low-power direct digital frequency synthesizer architecture for wireless communications}, booktitle = {Proceedings of the {IEEE} 1999 Custom Integrated Circuits Conference, {CICC} 1999, San Diego, CA, USA, May 16-19, 1999}, pages = {593--596}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/CICC.1999.777351}, doi = {10.1109/CICC.1999.777351}, timestamp = {Fri, 07 Jul 2023 11:00:51 +0200}, biburl = {https://dblp.org/rec/conf/cicc/BellaouarOFE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HafezE99, author = {Amr N. Hafez and Mohamed I. Elmasry}, title = {Fully integrated low phase-noise PLLs using closed-loop voltage-to-frequency converter architectures}, booktitle = {Proceedings of the {IEEE} 1999 Custom Integrated Circuits Conference, {CICC} 1999, San Diego, CA, USA, May 16-19, 1999}, pages = {653--656}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/CICC.1999.777365}, doi = {10.1109/CICC.1999.777365}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HafezE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HafezE99, author = {Amr N. Hafez and Mohamed I. Elmasry}, title = {A Novel Low Power Low Phase-Noise {PLL} Architecture for Wireless Transceivers}, booktitle = {9th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '99), 4-6 March 1999, Ann Arbor, MI, {USA}}, pages = {306--309}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/GLSV.1999.757439}, doi = {10.1109/GLSV.1999.757439}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/HafezE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShamsE99, author = {Maitham Shams and Mohamed I. Elmasry}, title = {Delay Optimization of {CMOS} Logic Circuits Using Closed-Form Expressions}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design, {VLSI} in Computers and Processors, {ICCD} '99, Austin, Texas, USA, October 10-13, 1999}, pages = {563--568}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCD.1999.808596}, doi = {10.1109/ICCD.1999.808596}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShamsE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-5/MasoumiES99, author = {Nasser Masoumi and Mohamed I. Elmasry and Safieddin Safavi{-}Naeini}, editor = {L. Miguel Silveira and Srinivas Devadas and Ricardo Augusto da Luz Reis}, title = {A Fast Parametric Model for Contact-Substrate Coupling}, booktitle = {{VLSI:} Systems on a Chip, {IFIP} {TC10/WG10.5} Tenth International Conference on Very Large Scale Integration {(VLSI} '99), December 1-4, 1999, Lisbon, Portugal}, series = {{IFIP} Conference Proceedings}, volume = {162}, pages = {69--76}, publisher = {Kluwer}, year = {1999}, timestamp = {Mon, 14 Oct 2002 13:30:59 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-5/MasoumiES99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FahimE99a, author = {Amr M. Fahim and Mohamed I. Elmasry}, title = {A low-power {CMOS} frequency synthesizer design methodology for wireless applications}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {115--119}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780632}, doi = {10.1109/ISCAS.1999.780632}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FahimE99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FahimE99, author = {Amr M. Fahim and Mohamed I. Elmasry}, title = {A Low-Voltage High-Performance Differential Static Logic {(LVDSL)} family}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {230--233}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.777845}, doi = {10.1109/ISCAS.1999.777845}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FahimE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShamsE99, author = {Maitham Shams and Mohamed I. Elmasry}, title = {A formulation for quick evaluation and optimization of digital {CMOS} circuits}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {326--329}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780161}, doi = {10.1109/ISCAS.1999.780161}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShamsE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HafezE99, author = {Amr N. Hafez and Mohamed I. Elmasry}, title = {A low power monolithic subsampled phase-locked loop architecture for wireless transceivers}, booktitle = {Proceedings of the 1999 International Symposium on Circuits and Systems, {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999}, pages = {549--552}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ISCAS.1999.780808}, doi = {10.1109/ISCAS.1999.780808}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HafezE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ElSayedAE99, author = {Ayman ElSayed and Akbar Ali and Mohamed I. Elmasry}, editor = {Farid N. Najm and Jason Cong and David T. Blaauw}, title = {Differential {PLL} for wireless applications using differential {CMOS} {LC-VCO} and differential charge pump}, booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}, pages = {243--248}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/313817.313935}, doi = {10.1145/313817.313935}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ElSayedAE99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShamsEE98, author = {Maitham Shams and Jo C. Ebergen and Mohamed I. Elmasry}, title = {Modeling and comparing {CMOS} implementations of the C-element}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {4}, pages = {563--567}, year = {1998}, url = {https://doi.org/10.1109/92.736128}, doi = {10.1109/92.736128}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShamsEE98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/ObrechtHVE98, author = {Michael S. Obrecht and Edwin L. Heasell and Jir{\'{\i}} Vlach and Mohamed I. Elmasry}, title = {Transient Phenomena in High Speed Bipolar Devices}, journal = {{VLSI} Design}, volume = {8}, number = {1-4}, pages = {475--480}, year = {1998}, url = {https://doi.org/10.1155/1998/35648}, doi = {10.1155/1998/35648}, timestamp = {Mon, 02 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/ObrechtHVE98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FahimKE98, author = {Amr M. Fahim and Muhammad M. Khellah and Mohamed I. Elmasry}, title = {A Low-Power High-Performance Embedded {SRAM} Macrocell}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {13--17}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665192}, doi = {10.1109/GLSV.1998.665192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FahimKE98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/WassalHE98, author = {Amr G. Wassal and M. Anwarul Hasan and Mohamed I. Elmasry}, title = {Low-Power Design of Finite Field Multipliers for Wireless Applications}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {19--25}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665193}, doi = {10.1109/GLSV.1998.665193}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/WassalHE98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/KhellahE98, author = {Muhammad M. Khellah and Mohamed I. Elmasry}, title = {Effective Capacitance Macro-Modelling for Architectural-Level Power Estimation}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {414--419}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665336}, doi = {10.1109/GLSV.1998.665336}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/KhellahE98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ElrabaaEM97, author = {Muhammad E. S. Elrabaa and Mohamed I. Elmasry and Duljit S. Malhi}, title = {Low-power BiCMOS circuits for high-speed interchip communication}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {4}, pages = {604--609}, year = {1997}, url = {https://doi.org/10.1109/4.563685}, doi = {10.1109/4.563685}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ElrabaaEM97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangE97, author = {David Zhang and Mohamed I. Elmasry}, title = {{VLSI} compressor design with applications to digital neural networks}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {5}, number = {2}, pages = {230--233}, year = {1997}, url = {https://doi.org/10.1109/92.585226}, doi = {10.1109/92.585226}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangE97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ShamsEE97, author = {Maitham Shams and Jo C. Ebergen and Mohamed I. Elmasry}, title = {Optimizing {CMOS} Implementations of the C-element}, booktitle = {Proceedings 1997 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA, October 12-15, 1997}, pages = {700--705}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICCD.1997.628941}, doi = {10.1109/ICCD.1997.628941}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ShamsEE97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/FaragYE97, author = {Emad N. Farag and Ran{-}Hong Yan and Mohamed I. Elmasry}, editor = {Brock Barton and Massoud Pedram and Anantha P. Chandrakasan and Sayfe Kiaei}, title = {A programmable power-efficient decimation filter for software radios}, booktitle = {Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997}, pages = {68--71}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/263272.263285}, doi = {10.1145/263272.263285}, timestamp = {Mon, 27 Sep 2021 11:47:11 +0200}, biburl = {https://dblp.org/rec/conf/islped/FaragYE97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ZhangE96, author = {David Zhang and Mohamed I. Elmasry}, title = {A Digital Perceptron Learning Implementation with Look-up Table Feedback Layer}, journal = {J. Circuits Syst. Comput.}, volume = {6}, number = {1}, pages = {79--84}, year = {1996}, url = {https://doi.org/10.1142/S021812669600008X}, doi = {10.1142/S021812669600008X}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ZhangE96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SharafE96, author = {Khaled M. Sharaf and Mohamed I. Elmasry}, title = {Analysis and optimization of series-gated {CML} and {ECL} high-speed bipolar circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {2}, pages = {202--211}, year = {1996}, url = {https://doi.org/10.1109/4.487997}, doi = {10.1109/4.487997}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SharafE96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GuE96, author = {Richard X. Gu and Mohamed I. Elmasry}, title = {All-N-logic high-speed true-single-phase dynamic {CMOS} logic}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {2}, pages = {221--229}, year = {1996}, url = {https://doi.org/10.1109/4.487999}, doi = {10.1109/4.487999}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GuE96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GuE96a, author = {Richard X. Gu and Mohamed I. Elmasry}, title = {Power dissipation analysis and optimization of deep submicron {CMOS} digital circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {5}, pages = {707--713}, year = {1996}, url = {https://doi.org/10.1109/4.509853}, doi = {10.1109/4.509853}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GuE96a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Abu-KhaterBE96, author = {Issam S. Abu{-}Khater and Abdellatif Bellaouar and Mohamed I. Elmasry}, title = {Circuit techniques for {CMOS} low-power high-performance multipliers}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {10}, pages = {1535--1546}, year = {1996}, url = {https://doi.org/10.1109/4.540066}, doi = {10.1109/4.540066}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Abu-KhaterBE96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npsc/ZhangE96, author = {David Zhang and Mohamed I. Elmasry}, title = {Mapping neural networks onto systolic arrays}, journal = {Neural Parallel Sci. Comput.}, volume = {4}, number = {3}, pages = {341--352}, year = {1996}, url = {http://dl.acm.org/citation.cfm?id=241624}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npsc/ZhangE96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npsc/ZhangE96a, author = {David Zhang and Mohamed I. Elmasry}, title = {A parallel digital layered perceptrons implementation}, journal = {Neural Parallel Sci. Comput.}, volume = {4}, number = {4}, pages = {493--504}, year = {1996}, url = {http://dl.acm.org/citation.cfm?id=254756}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npsc/ZhangE96a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FaragE96, author = {Emad N. Farag and Mohamed I. Elmasry}, title = {Low-Power Implementation of Discrete Cosine Transform}, booktitle = {6th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '96), March 22-23, 1996, Ames, IA, {USA}}, pages = {174--177}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/GLSV.1996.497615}, doi = {10.1109/GLSV.1996.497615}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FaragE96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/FaragE96, author = {Emad N. Farag and Mohamed I. Elmasry}, title = {Low-power subband coding algorithm}, booktitle = {1996 {IEEE} International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings, {ICASSP} '96, Atlanta, Georgia, USA, May 7-10, 1996}, pages = {2116--2119}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICASSP.1996.545733}, doi = {10.1109/ICASSP.1996.545733}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/FaragE96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ShamsEE96, author = {Maitham Shams and Jo C. Ebergen and Mohamed I. Elmasry}, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {A comparison of {CMOS} implementations of an asynchronous circuits primitive: the C-element}, booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, pages = {93--96}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/LPE.1996.542737}, doi = {10.1109/LPE.1996.542737}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/islped/ShamsEE96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GuE95, author = {Richard X. Gu and Mohamed I. Elmasry}, title = {Novel high speed circuit structures for BiCMOS environment}, journal = {{IEEE} J. Solid State Circuits}, volume = {30}, number = {5}, pages = {563--570}, year = {1995}, url = {https://doi.org/10.1109/4.384169}, doi = {10.1109/4.384169}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GuE95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BellaouarEE95, author = {Abdellatif Bellaouar and Mohamed I. Elmasry and Sherif H. K. Embabi}, title = {Bootstrapped full-swing BiCMOS/BiNMOS logic circuits for 1.2-3.3 {V} supply voltage regime}, journal = {{IEEE} J. Solid State Circuits}, volume = {30}, number = {6}, pages = {629--636}, year = {1995}, url = {https://doi.org/10.1109/4.387065}, doi = {10.1109/4.387065}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BellaouarEE95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SharafE95, author = {Khaled M. Sharaf and Mohamed I. Elmasry}, title = {Active-pull-down nonthreshold logic BiCMOS circuits for high-speed low-power applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {30}, number = {6}, pages = {691--695}, year = {1995}, url = {https://doi.org/10.1109/4.387074}, doi = {10.1109/4.387074}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SharafE95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BellaouarAE95, author = {Abdellatif Bellaouar and Issam S. Abu{-}Khater and Mohamed I. Elmasry}, title = {Low-power CMOS/BiCMOS drivers and receivers for on-chip interconnects}, journal = {{IEEE} J. Solid State Circuits}, volume = {30}, number = {6}, pages = {696--700}, year = {1995}, url = {https://doi.org/10.1109/4.387075}, doi = {10.1109/4.387075}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BellaouarAE95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npsc/ZhangGE95, author = {David Zhang and Richard X. Gu and Mohamed I. Elmasry}, title = {A programmable neural network architecture using BiCMOS technology}, journal = {Neural Parallel Sci. Comput.}, volume = {3}, number = {1}, pages = {103--113}, year = {1995}, url = {http://dl.acm.org/citation.cfm?id=204450}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npsc/ZhangGE95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ObrechtEH95, author = {Michael S. Obrecht and Mohamed I. Elmasry and Edwin L. Heasell}, title = {{TRASIM:} compact and efficient two-dimensional transient simulator for arbitrary planar semiconductor devices}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {4}, pages = {447--458}, year = {1995}, url = {https://doi.org/10.1109/43.372371}, doi = {10.1109/43.372371}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ObrechtEH95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HessabiOE95, author = {Shaahin Hessabi and Mohamed Y. Osman and Mohamed I. Elmasry}, title = {Differential BiCMOS logic circuits: fault characterization and design-for-testability}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {3}, number = {3}, pages = {437--445}, year = {1995}, url = {https://doi.org/10.1109/92.407001}, doi = {10.1109/92.407001}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/HessabiOE95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Abu-KhaterBEY95, author = {Issam S. Abu{-}Khater and Abdellatif Bellaouar and Mohamed I. Elmasry and Ran{-}Hong Yan}, title = {Circuit/architecture for low-power high-performance 32-bit adder}, booktitle = {5th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '95), March 16-18, 1995, The State University of New York at Buffalo, {USA}}, pages = {74}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/GLSV.1995.516028}, doi = {10.1109/GLSV.1995.516028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Abu-KhaterBEY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuE95, author = {Richard X. Gu and Mohamed I. Elmasry}, title = {Power Dissipation in Deep Submicron {CMOS} Digital Circuits}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {33--36}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.521444}, doi = {10.1109/ISCAS.1995.521444}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuE95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/ZhangKE94, author = {David Zhang and Mohamed Kamel and Mohamed I. Elmasry}, title = {Fuzzy Clustering Neural Network {(FCNN):} Competitive Learning and Parallel Architecture}, journal = {J. Intell. Fuzzy Syst.}, volume = {2}, number = {4}, pages = {289--298}, year = {1994}, url = {https://doi.org/10.3233/IFS-1994-2402}, doi = {10.3233/IFS-1994-2402}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/ZhangKE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SharafE94, author = {Khaled M. Sharaf and Mohamed I. Elmasry}, title = {An accurate analytical propagation delay model for high-speed {CML} bipolar circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {29}, number = {1}, pages = {31--45}, year = {1994}, url = {https://doi.org/10.1109/4.272092}, doi = {10.1109/4.272092}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SharafE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ElrabaaOE94, author = {Muhammad E. S. Elrabaa and Michael S. Obrecht and Mohamed I. Elmasry}, title = {Novel low-voltage low-power full-swing BiCMOS circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {29}, number = {2}, pages = {86--94}, year = {1994}, url = {https://doi.org/10.1109/4.272111}, doi = {10.1109/4.272111}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ElrabaaOE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RofailE94, author = {Samir S. Rofail and Mohamed I. Elmasry}, title = {Schottky merged BiCMOS structures}, journal = {{IEEE} J. Solid State Circuits}, volume = {29}, number = {3}, pages = {356--361}, year = {1994}, url = {https://doi.org/10.1109/4.278361}, doi = {10.1109/4.278361}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RofailE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OsmanE94, author = {Mohamed Y. Osman and Mohamed I. Elmasry}, title = {Highly testable design of BiCMOS logic circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {29}, number = {6}, pages = {671--678}, year = {1994}, url = {https://doi.org/10.1109/4.293112}, doi = {10.1109/4.293112}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OsmanE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BellaouarAEC94, author = {Abdellatif Bellaouar and Issam S. Abu{-}Khater and Mohamed I. Elmasry and A. Chikima}, title = {Full-swing Schottky BiCMOS/BiNMOS and the effects of operating frequency and supply voltage scaling}, journal = {{IEEE} J. Solid State Circuits}, volume = {29}, number = {6}, pages = {693--700}, year = {1994}, url = {https://doi.org/10.1109/4.293115}, doi = {10.1109/4.293115}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BellaouarAEC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GuE94, author = {Richard X. Gu and Mohamed I. Elmasry}, title = {High-speed dynamic reference voltage {(DRV)} {CMOS/ECL} interface circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {29}, number = {10}, pages = {1282--1287}, year = {1994}, url = {https://doi.org/10.1109/4.315215}, doi = {10.1109/4.315215}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GuE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/DengHE94, author = {Li Deng and Khaled Hassanein and Mohamed I. Elmasry}, title = {Analysis of the correlation structure for a neural predictive model with application to speech recognition}, journal = {Neural Networks}, volume = {7}, number = {2}, pages = {331--339}, year = {1994}, url = {https://doi.org/10.1016/0893-6080(94)90027-2}, doi = {10.1016/0893-6080(94)90027-2}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/DengHE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npsc/ZhangDE94, author = {David Zhang and Li Deng and Mohamed I. Elmasry}, title = {Pipelined architecture for neural-network-based speech recognition}, journal = {Neural Parallel Sci. Comput.}, volume = {2}, number = {1}, pages = {81--92}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=184206}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npsc/ZhangDE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AchyuthanE94, author = {Arun Achyuthan and Mohamed I. Elmasry}, title = {Mixed analog/digital hardware synthesis of artificial neural networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {9}, pages = {1073--1087}, year = {1994}, url = {https://doi.org/10.1109/43.310897}, doi = {10.1109/43.310897}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AchyuthanE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SharafE94, author = {Khaled M. Sharaf and Mohamed I. Elmasry}, title = {Low-power differential {CML} and {ECL} BiCMOS circuit techniques}, booktitle = {Fourth Great Lakes Symposium on Design Automation of High Performance {VLSI} Systems, {GLSV} '94, Notre Dame, IN, USA, March 4-5, 1994}, pages = {208--213}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/GLSV.1994.289967}, doi = {10.1109/GLSV.1994.289967}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/SharafE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HassaneinDE94, author = {Khaled Hassanein and Li Deng and Mohamed I. Elmasry}, title = {Vowel classification using a neural predictive {HMM:} a discriminative training approach}, booktitle = {Proceedings of {ICASSP} '94: {IEEE} International Conference on Acoustics, Speech and Signal Processing, Adelaide, South Australia, Australia, April 19-22, 1994}, pages = {665--668}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICASSP.1994.389568}, doi = {10.1109/ICASSP.1994.389568}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/HassaneinDE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuE94, author = {Richard X. Gu and Mohamed I. Elmasry}, title = {An All-N-Logic High-Speed Single-Phase Dynamic {CMOS} Logic}, booktitle = {1994 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1994, London, England, UK, May 30 - June 2, 1994}, pages = {7--10}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/ISCAS.1994.409183}, doi = {10.1109/ISCAS.1994.409183}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SharafE94, author = {Khaled M. Sharaf and Mohamed I. Elmasry}, title = {BiCMOS Active-Pull-Down Non-Threshold Logic Cicuits for High-Speed Low-Power Applications}, booktitle = {1994 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1994, London, England, UK, May 30 - June 2, 1994}, pages = {19--22}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/ISCAS.1994.409186}, doi = {10.1109/ISCAS.1994.409186}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SharafE94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npsc/RehanE93, author = {Sameh Ebrahim Rehan and Mohamed I. Elmasry}, title = {Modular switched-resistor {ANN} chip for character recognition using novel parallel {VLSI} architecture}, journal = {Neural Parallel Sci. Comput.}, volume = {1}, pages = {241--262}, year = {1993}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npsc/RehanE93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GebotysE93, author = {Catherine H. Gebotys and Mohamed I. Elmasry}, title = {Global optimization approach for architectural synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {9}, pages = {1266--1278}, year = {1993}, url = {https://doi.org/10.1109/43.240074}, doi = {10.1109/43.240074}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GebotysE93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnn/FakhrE93, author = {Waleed Fakhr and Mohamed I. Elmasry}, title = {Minimum description length pruning and maximum mutual information training of adaptive probabilistic neural networks}, booktitle = {Proceedings of International Conference on Neural Networks (ICNN'88), San Francisco, CA, USA, March 28 - April 1, 1993}, pages = {1338--1342}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/ICNN.1993.298746}, doi = {10.1109/ICNN.1993.298746}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnn/FakhrE93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongEV93, author = {L. Song and Mohamed I. Elmasry and Anthony Vannelli}, title = {Analog neural network building blocks based on current mode subthreshold operation}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {2462--2465}, publisher = {{IEEE}}, year = {1993}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongEV93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HarveyEL92, author = {J. Paul Harvey and Mohamed I. Elmasry and Bosco Leung}, title = {{STAIC:} an interactive framework for synthesizing {CMOS} and BiCMOS analog circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {11}, pages = {1402--1417}, year = {1992}, url = {https://doi.org/10.1109/43.177403}, doi = {10.1109/43.177403}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HarveyEL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/WhiteE92, author = {Brian A. White and Mohamed I. Elmasry}, title = {The digi-neocognitron: a digital neocognitron neural network model for {VLSI}}, journal = {{IEEE} Trans. Neural Networks}, volume = {3}, number = {1}, pages = {73--85}, year = {1992}, url = {https://doi.org/10.1109/72.105419}, doi = {10.1109/72.105419}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/WhiteE92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/SalamaE92, author = {Aly Ezzat Salama and Mohamed I. Elmasry}, title = {Testing and design for testability of BiCMOS logic circuits}, booktitle = {10th {IEEE} {VLSI} Test Symposium (VTS'92), 7-9 Apr 1992, Atlantic City, NJ, {USA}}, pages = {217--222}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/VTEST.1992.232755}, doi = {10.1109/VTEST.1992.232755}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/SalamaE92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GebotysE91, author = {Catherine H. Gebotys and Mohamed I. Elmasry}, editor = {A. Richard Newton}, title = {Simultaneous Scheduling and Allocation for Cost Constrained Optimal Architectural Synthesis}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {2--7}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127609}, doi = {10.1145/127601.127609}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GebotysE91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GebotysE90, author = {Catherine H. Gebotys and Mohamed I. Elmasry}, title = {A Global Optimization Approach for Architectural Synthesis}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {258--261}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129896}, doi = {10.1109/ICCAD.1990.129896}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GebotysE90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/FakhrE90, author = {Waleed Fakhr and Mohamed I. Elmasry}, title = {A fast learning technique for the multilayer perceptron}, booktitle = {{IJCNN} 1990, International Joint Conference on Neural Networks, San Diego, CA, USA, June 17-21, 1990}, pages = {257--262}, publisher = {{IEEE}}, year = {1990}, url = {https://doi.org/10.1109/IJCNN.1990.137854}, doi = {10.1109/IJCNN.1990.137854}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/FakhrE90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HarounE89, author = {Baher Haroun and Mohamed I. Elmasry}, title = {Architectural synthesis for {DSP} silicon compilers}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {4}, pages = {431--447}, year = {1989}, url = {https://doi.org/10.1109/43.29596}, doi = {10.1109/43.29596}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HarounE89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BusetE89, author = {O. A. Buset and Mohamed I. Elmasry}, editor = {Donald E. Thomas}, title = {{ACE:} {A} Hierarchical Graphical Interface for Architectual Synthesis}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {537--542}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74472}, doi = {10.1145/74382.74472}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BusetE89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GebotysE88, author = {Catherine H. Gebotys and Mohamed I. Elmasry}, editor = {Dennis W. Shaklee and A. Richard Newton}, title = {{VLSI} Design Synthesis with Testability}, booktitle = {Proceedings of the 25th {ACM/IEEE} Conference on Design Automation, {DAC} '88, Anaheim, CA, USA, June 12-15, 1988}, pages = {16--21}, publisher = {{ACM}}, year = {1988}, url = {http://portal.acm.org/citation.cfm?id=285730.285734}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/dac/GebotysE88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HarounE88, author = {Baher Haroun and Mohamed I. Elmasry}, title = {Automatic synthesis of a multi-bus architecture for {DSP}}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {44--47}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122459}, doi = {10.1109/ICCAD.1988.122459}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HarounE88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GebotysE88, author = {Catherine H. Gebotys and Mohamed I. Elmasry}, title = {Integrated design and test synthesis}, booktitle = {Computer Design: {VLSI} in Computers and Processors, {ICCD} 1988., Proceedings of the 1988 {IEEE} International Conference on, Rye Brook, NY, USA, October 3-5, 1988}, pages = {398--401}, publisher = {{IEEE}}, year = {1988}, url = {https://doi.org/10.1109/ICCD.1988.25731}, doi = {10.1109/ICCD.1988.25731}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/iccd/GebotysE88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SzaboLE87, author = {Kevin S. B. Szab{\'{o}} and James M. Leask and Mohamed I. Elmasry}, title = {Symbolic Layout for Bipolar and {MOS} {VLSI}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {6}, number = {2}, pages = {202--210}, year = {1987}, url = {https://doi.org/10.1109/TCAD.1987.1270264}, doi = {10.1109/TCAD.1987.1270264}, timestamp = {Thu, 15 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SzaboLE87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SzaboE87, author = {Kevin S. B. Szab{\'{o}} and Mohamed I. Elmasry}, editor = {John M. Carroll and Peter P. Tanner}, title = {The user interface and program structure of a graphical {VLSI} layout editor}, booktitle = {Proceedings of the {SIGCHI/GI} Conference on Human Factors in Computing Systems and Graphics Interface, {CHI} 1987, Toronto, Ontario, Canada, April 5-9, 1987}, pages = {219--225}, publisher = {{ACM}}, year = {1987}, url = {https://doi.org/10.1145/29933.275633}, doi = {10.1145/29933.275633}, timestamp = {Wed, 21 Jul 2021 10:34:40 +0200}, biburl = {https://dblp.org/rec/conf/chi/SzaboE87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PowellE84, author = {Patrick A. D. Powell and Mohamed I. Elmasry}, editor = {Patricia H. Lambert and Hillel Ofek and Lawrence A. O'Neill and Pat O. Pistilli and Paul Losleben and J. Daniel Nash and Dennis W. Shaklee and Bryan T. Preas and Harvey N. Lerman}, title = {The icewater language and interpreter}, booktitle = {Proceedings of the 21st Design Automation Conference, {DAC} '84, Albuquerque, New Mexico, June 25-27, 1984}, pages = {98--102}, publisher = {{ACM/IEEE}}, year = {1984}, url = {http://dl.acm.org/citation.cfm?id=800781}, timestamp = {Thu, 12 Aug 2021 08:58:02 +0200}, biburl = {https://dblp.org/rec/conf/dac/PowellE84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TeeneER82, author = {A. R. Teene and Mohamed I. Elmasry and David J. Roulston}, title = {{WATPC:} {A} Computer-Aided Design Package for Digital Bipolar Integrated Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {1}, number = {4}, pages = {213--219}, year = {1982}, url = {https://doi.org/10.1109/TCAD.1982.1270013}, doi = {10.1109/TCAD.1982.1270013}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TeeneER82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Elmasry76, author = {Mohamed I. Elmasry}, title = {Logic Design Using {EFL} Structures}, journal = {{IEEE} Trans. Computers}, volume = {25}, number = {9}, pages = {952--956}, year = {1976}, url = {https://doi.org/10.1109/TC.1976.1674722}, doi = {10.1109/TC.1976.1674722}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Elmasry76.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ElmasryT75, author = {Mohamed I. Elmasry and Philip M. Thompson}, title = {Two-Level Emitter-Function Logic Structures for Logic-in-Memory Computers}, journal = {{IEEE} Trans. Computers}, volume = {24}, number = {3}, pages = {250--258}, year = {1975}, url = {https://doi.org/10.1109/T-C.1975.224206}, doi = {10.1109/T-C.1975.224206}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ElmasryT75.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.