Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Hubertus Franke
@article{DBLP:journals/corr/abs-2403-07890, author = {Weichao Mao and Haoran Qiu and Chen Wang and Hubertus Franke and Zbigniew Kalbarczyk and Tamer Basar}, title = {{\~{O}}(T\({}^{\mbox{-1}}\)) Convergence to (Coarse) Correlated Equilibria in Full-Information General-Sum Markov Games}, journal = {CoRR}, volume = {abs/2403.07890}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.07890}, doi = {10.48550/ARXIV.2403.07890}, eprinttype = {arXiv}, eprint = {2403.07890}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-07890.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/YeRBBF23, author = {Mengmei Ye and Angelo Ruocco and Daniele Buono and James Bottomley and Hubertus Franke}, title = {Free the Turtles: Removing Nested Virtualization for Performance and Confidentiality in the Cloud}, booktitle = {16th {IEEE} International Conference on Cloud Computing, {CLOUD} 2023, Chicago, IL, USA, July 2-8, 2023}, pages = {275--281}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CLOUD60044.2023.00039}, doi = {10.1109/CLOUD60044.2023.00039}, timestamp = {Fri, 29 Sep 2023 13:28:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/YeRBBF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsac/NarayananCRABYF23, author = {Vikram Narayanan and Cl{\'{a}}udio Carvalho and Angelo Ruocco and Gheorghe Alm{\'{a}}si and James Bottomley and Mengmei Ye and Tobin Feldman{-}Fitzthum and Daniele Buono and Hubertus Franke and Anton Burtsev}, title = {Remote attestation of confidential VMs using ephemeral vTPMs}, booktitle = {Annual Computer Security Applications Conference, {ACSAC} 2023, Austin, TX, USA, December 4-8, 2023}, pages = {732--743}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3627106.3627112}, doi = {10.1145/3627106.3627112}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsac/NarayananCRABYF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RenKKYFC23, author = {Wei Ren and William Kozlowski and Sandhya Koteshwara and Mengmei Ye and Hubertus Franke and Deming Chen}, title = {AccShield: a New Trusted Execution Environment with Machine-Learning Accelerators}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247768}, doi = {10.1109/DAC56929.2023.10247768}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/RenKKYFC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/StojkovicXFT23, author = {Jovan Stojkovic and Tianyin Xu and Hubertus Franke and Josep Torrellas}, title = {SpecFaaS: Accelerating Serverless Applications with Speculative Function Execution}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {814--827}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10071120}, doi = {10.1109/HPCA56546.2023.10071120}, timestamp = {Wed, 29 Mar 2023 11:07:46 +0200}, biburl = {https://dblp.org/rec/conf/hpca/StojkovicXFT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/StojkovicXFT23, author = {Jovan Stojkovic and Tianyin Xu and Hubertus Franke and Josep Torrellas}, editor = {Yan Solihin and Mark A. Heinrich}, title = {MXFaaS: Resource Sharing in Serverless Environments for Parallelism and Efficiency}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {34:1--34:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589069}, doi = {10.1145/3579371.3589069}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/StojkovicXFT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MaoQ0FKIB23, author = {Weichao Mao and Haoran Qiu and Chen Wang and Hubertus Franke and Zbigniew Kalbarczyk and Ravishankar K. Iyer and Tamer Basar}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Multi-Agent Meta-Reinforcement Learning: Sharper Convergence Rates with Task Similarity}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/d1b1a091088904cbc7f7faa2b45c8f36-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/MaoQ0FKIB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/QiuM0FYKBI23, author = {Haoran Qiu and Weichao Mao and Chen Wang and Hubertus Franke and Alaa Youssef and Zbigniew T. Kalbarczyk and Tamer Basar and Ravishankar K. Iyer}, editor = {Julia Lawall and Dan Williams}, title = {{AWARE:} Automate Workload Autoscaling with Reinforcement Learning in Production Cloud Systems}, booktitle = {2023 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {387--402}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/atc23/presentation/qiu-haoran}, timestamp = {Sat, 15 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/usenix/QiuM0FYKBI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-10366, author = {Jinghao Jia and YiFei Zhu and Dan Williams and Andrea Arcangeli and Claudio Canella and Hubertus Franke and Tobin Feldman{-}Fitzthum and Dimitrios Skarlatos and Daniel Gruss and Tianyin Xu}, title = {Programmable System Call Security with eBPF}, journal = {CoRR}, volume = {abs/2302.10366}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.10366}, doi = {10.48550/ARXIV.2302.10366}, eprinttype = {arXiv}, eprint = {2302.10366}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-10366.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15540, author = {Pau{-}Chen Cheng and Wojciech Ozga and Enriquillo Valdez and Salman Ahmed and Zhongshu Gu and Hani Jamjoom and Hubertus Franke and James Bottomley}, title = {Intel {TDX} Demystified: {A} Top-Down Approach}, journal = {CoRR}, volume = {abs/2303.15540}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15540}, doi = {10.48550/ARXIV.2303.15540}, eprinttype = {arXiv}, eprint = {2303.15540}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15540.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16463, author = {Vikram Narayanan and Cl{\'{a}}udio Carvalho and Angelo Ruocco and Gheorghe Alm{\'{a}}si and James Bottomley and Mengmei Ye and Tobin Feldman{-}Fitzthum and Daniele Buono and Hubertus Franke and Anton Burtsev}, title = {Remote attestation of {SEV-SNP} confidential VMs using e-vTPMs}, journal = {CoRR}, volume = {abs/2303.16463}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16463}, doi = {10.48550/ARXIV.2303.16463}, eprinttype = {arXiv}, eprint = {2303.16463}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16463.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06808, author = {Ioannis Zarkadas and Tal Zussman and Jeremy Carin and Sheng Jiang and Yuhong Zhong and Jonas Pfefferle and Hubertus Franke and Junfeng Yang and Kostis Kaffes and Ryan Stutsman and Asaf Cidon}, title = {BPF-oF: Storage Function Pushdown Over the Network}, journal = {CoRR}, volume = {abs/2312.06808}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06808}, doi = {10.48550/ARXIV.2312.06808}, eprinttype = {arXiv}, eprint = {2312.06808}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloud/QiuMPWFKBI22, author = {Haoran Qiu and Weichao Mao and Archit Patke and Chen Wang and Hubertus Franke and Zbigniew T. Kalbarczyk and Tamer Basar and Ravishankar K. Iyer}, editor = {Ada Gavrilovska and Deniz Altinb{\"{u}}ken and Carsten Binnig}, title = {{SIMPPO:} a scalable and incremental online learning framework for serverless resource management}, booktitle = {Proceedings of the 13th Symposium on Cloud Computing, SoCC 2022, San Francisco, California, November 7-11, 2022}, pages = {306--322}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3542929.3563475}, doi = {10.1145/3542929.3563475}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloud/QiuMPWFKBI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/QiuMPWFKBI22, author = {Haoran Qiu and Weichao Mao and Archit Patke and Chen Wang and Hubertus Franke and Zbigniew T. Kalbarczyk and Tamer Basar and Ravishankar K. Iyer}, editor = {Eiko Yoneki and Luigi Nardi}, title = {Reinforcement learning for resource management in multi-tenant serverless platforms}, booktitle = {EuroMLSys '22: Proceedings of the 2nd European Workshop on Machine Learning and Systems, Rennes, France, April 5 - 8, 2022}, pages = {20--28}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3517207.3526971}, doi = {10.1145/3517207.3526971}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurosys/QiuMPWFKBI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MaoQ0FKIB22, author = {Weichao Mao and Haoran Qiu and Chen Wang and Hubertus Franke and Zbigniew Kalbarczyk and Ravishankar K. Iyer and Tamer Basar}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {A Mean-Field Game Approach to Cloud Resource Management with Function Approximation}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/eb3c8135137c8a60425a0320869ad87e-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/MaoQ0FKIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-13396, author = {Aporva Amarnath and Subhankar Pal and Hiwot Kassa and Augusto Vega and Alper Buyuktosunoglu and Hubertus Franke and John{-}David Wellman and Ronald G. Dreslinski and Pradip Bose}, title = {HetSched: Quality-of-Mission Aware Scheduling for Autonomous Vehicle SoCs}, journal = {CoRR}, volume = {abs/2203.13396}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.13396}, doi = {10.48550/ARXIV.2203.13396}, eprinttype = {arXiv}, eprint = {2203.13396}, timestamp = {Tue, 29 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-13396.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-12610, author = {Constantin Adam and Abdulhamid Adebayo and Hubertus Franke and Edward C. Snible and Tobin Feldman{-}Fitzthum and James Cadden and Nerla Jean{-}Louis}, title = {Partially Trusting the Service Mesh Control Plane}, journal = {CoRR}, volume = {abs/2210.12610}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.12610}, doi = {10.48550/ARXIV.2210.12610}, eprinttype = {arXiv}, eprint = {2210.12610}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-12610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/AmarnathPKVBFWD21, author = {Aporva Amarnath and Subhankar Pal and Hiwot Tadese Kassa and Augusto Vega and Alper Buyuktosunoglu and Hubertus Franke and John{-}David Wellman and Ronald Dreslinski Jr. and Pradip Bose}, title = {Heterogeneity-Aware Scheduling on SoCs for Autonomous Vehicles}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {20}, number = {2}, pages = {82--85}, year = {2021}, url = {https://doi.org/10.1109/LCA.2021.3085505}, doi = {10.1109/LCA.2021.3085505}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/AmarnathPKVBFWD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/QiuJBPWFKI21, author = {Haoran Qiu and Saurabh Jha and Subho S. Banerjee and Archit Patke and Chen Wang and Hubertus Franke and Zbigniew T. Kalbarczyk and Ravishankar K. Iyer}, title = {Is Function-as-a-Service a Good Fit for Latency-Critical Services?}, booktitle = {WoSC '21: Proceedings of the Seventh International Workshop on Serverless Computing (WoSC7) 2021, Virtual Event, Qu{\'{e}}bec City, Canada, 6 December 2021}, pages = {1--8}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3493651.3493666}, doi = {10.1145/3493651.3493666}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/middleware/QiuJBPWFKI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/DharWFXHHKC20, author = {Ashutosh Dhar and Xiaohao Wang and Hubertus Franke and Jinjun Xiong and Jian Huang and Wen{-}Mei W. Hwu and Nam Sung Kim and Deming Chen}, title = {FReaC Cache: Folded-logic Reconfigurable Computing in the Last Level Cache}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {102--117}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00021}, doi = {10.1109/MICRO50266.2020.00021}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/DharWFXHHKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-14371, author = {Augusto Vega and Aporva Amarnath and John{-}David Wellman and Hiwot Kassa and Subhankar Pal and Hubertus Franke and Alper Buyuktosunoglu and Ronald G. Dreslinski and Pradip Bose}, title = {{STOMP:} {A} Tool for Evaluation of Scheduling Policies in Heterogeneous Multi-Processors}, journal = {CoRR}, volume = {abs/2007.14371}, year = {2020}, url = {https://arxiv.org/abs/2007.14371}, eprinttype = {arXiv}, eprint = {2007.14371}, timestamp = {Mon, 03 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-14371.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MailthodyQLFGLF19, author = {Vikram Sharma Mailthody and Zaid Qureshi and Weixin Liang and Ziyan Feng and Simon Garcia De Gonzalo and Youjie Li and Hubertus Franke and Jinjun Xiong and Jian Huang and Wen{-}Mei Hwu}, title = {DeepStore: In-Storage Acceleration for Intelligent Queries}, booktitle = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16, 2019}, pages = {224--238}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3352460.3358320}, doi = {10.1145/3352460.3358320}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/MailthodyQLFGLF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/TumeoFPF18, author = {Antonino Tumeo and Hubertus Franke and Gianluca Palermo and John Feo}, title = {Guest Editorial: Special Issue on Computing Frontiers}, journal = {Int. J. Parallel Program.}, volume = {46}, number = {2}, pages = {333--335}, year = {2018}, url = {https://doi.org/10.1007/s10766-018-0556-z}, doi = {10.1007/S10766-018-0556-Z}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/TumeoFPF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsw/FrankeHDK18, author = {Hubertus Franke and Martina Hasseler and Denise Dick and Stephanie Krebs}, title = {Requirements Engineering for a Matching Algorithm to Use in Hospital Networks}, journal = {J. Softw.}, volume = {13}, number = {8}, pages = {414--420}, year = {2018}, url = {https://doi.org/10.17706/jsw.13.8.414-420}, doi = {10.17706/JSW.13.8.414-420}, timestamp = {Fri, 07 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsw/FrankeHDK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LinLLF18, author = {An{-}Dee Lin and Chung{-}Sheng Li and Wanjiun Liao and Hubertus Franke}, title = {Capacity Optimization for Resource Pooling in Virtualized Data Centers with Composable Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {2}, pages = {324--337}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2017.2757479}, doi = {10.1109/TPDS.2017.2757479}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LinLLF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/TsaiFLL18, author = {Linjiun Tsai and Hubertus Franke and Chung{-}Sheng Li and Wanjiun Liao}, title = {Learning-Based Memory Allocation Optimization for Delay-Sensitive Big Data Processing}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {6}, pages = {1332--1341}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2018.2800011}, doi = {10.1109/TPDS.2018.2800011}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/TsaiFLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/CostaMLSFCD18, author = {Carlos H. A. Costa and Claudia Misale and Frank Liu and Marcio Silva and Hubertus Franke and Paul Crumley and Bruce D'Amora}, editor = {Huiru Jane Zheng and Zoraida Callejas and David Griol and Haiying Wang and Xiaohua Hu and Harald H. H. W. Schmidt and Jan Baumbach and Julie Dickerson and Le Zhang}, title = {Optimization of Genomics Analysis Pipeline for Scalable Performance in a Cloud Environment}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2018, Madrid, Spain, December 3-6, 2018}, pages = {1147--1154}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.ieeecomputersociety.org/10.1109/BIBM.2018.8621208}, doi = {10.1109/BIBM.2018.8621208}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/CostaMLSFCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LiFPAKC17, author = {Chung{-}Sheng Li and Hubertus Franke and Colin Parris and B{\"{u}}lent Abali and Mukil Kesavan and Victor I. Chang}, title = {Composable architecture for rack scale big data computing}, journal = {Future Gener. Comput. Syst.}, volume = {67}, pages = {180--193}, year = {2017}, url = {https://doi.org/10.1016/j.future.2016.07.014}, doi = {10.1016/J.FUTURE.2016.07.014}, timestamp = {Sat, 17 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LiFPAKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/FinklerFK17, author = {Ulrich Finkler and Hubertus Franke and David S. Kung}, title = {{DYCE:} {A} Resilient Shared Memory Paradigm for Heterogenous Distributed Systems without Memory Coherence}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'17, Siena, Italy, May 15-17, 2017}, pages = {17--26}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3075564.3075579}, doi = {10.1145/3075564.3075579}, timestamp = {Tue, 06 Nov 2018 11:07:32 +0100}, biburl = {https://dblp.org/rec/conf/cf/FinklerFK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dls/UngarGF17, author = {David M. Ungar and David Grove and Hubertus Franke}, editor = {Davide Ancona}, title = {Dynamic atomicity: optimizing swift memory management}, booktitle = {Proceedings of the 13th {ACM} {SIGPLAN} International Symposium on on Dynamic Languages, Vancouver, BC, Canada, October 23 - 27, 2017}, pages = {15--26}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3133841.3133843}, doi = {10.1145/3133841.3133843}, timestamp = {Wed, 23 Jun 2021 15:34:31 +0200}, biburl = {https://dblp.org/rec/conf/dls/UngarGF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/LinFLL16, author = {An{-}Dee Lin and Hubertus Franke and Chung{-}Sheng Li and Wanjiun Liao}, title = {Toward performance optimization with {CPU} offloading for virtualized multi-tenant data center networks}, journal = {{IEEE} Netw.}, volume = {30}, number = {3}, pages = {59--63}, year = {2016}, url = {https://doi.org/10.1109/MNET.2016.7474345}, doi = {10.1109/MNET.2016.7474345}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/LinFLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/closer/LiFPC15, author = {Chung{-}Sheng Li and Hubertus Franke and Colin Parris and Victor Chang}, editor = {Victor Chang and Muthu Ramachandran and Gary B. Wills and Robert John Walters and Verena Kantere and Chung{-}Sheng Li}, title = {Disaggregated Architecture for at Scale Computing}, booktitle = {ESaaSA 2015 - Proceedings of the 2nd International Workshop on Emerging Software as a Service and Analytics, Lisbon, Portugal, 20-22 May, 2015}, pages = {45--52}, publisher = {SciTePress}, year = {2015}, url = {https://doi.org/10.5220/0005551300450052}, doi = {10.5220/0005551300450052}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/closer/LiFPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2015, editor = {Claudia Di Napoli and Valentina Salapura and Hubertus Franke and Rui Hou}, title = {Proceedings of the 12th {ACM} International Conference on Computing Frontiers, CF'15, Ischia, Italy, May 18-21, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2742854}, isbn = {978-1-4503-3358-0}, timestamp = {Fri, 15 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/AbaliEFLT15, author = {B{\"{u}}lent Abali and Richard J. Eickemeyer and Hubertus Franke and Chung{-}Sheng Li and Marc Taubenblatt}, title = {Disaggregated and optically interconnected memory: when will it be cost effective?}, journal = {CoRR}, volume = {abs/1503.01416}, year = {2015}, url = {http://arxiv.org/abs/1503.01416}, eprinttype = {arXiv}, eprint = {1503.01416}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/AbaliEFLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/LiBCDFHLPPRRRSW14, author = {Chung{-}Sheng Li and Brad L. Brech and Scott Crowder and Daniel M. Dias and Hubertus Franke and Matt Hogstrom and David Lindquist and Giovanni Pacifici and Stefan Pappe and Bala Rajaraman and Josyula Rao and Radha Ratnaparkhi and Rodney A. Smith and Michael D. Williams}, title = {Software defined environments: An introduction}, journal = {{IBM} J. Res. Dev.}, volume = {58}, number = {2/3}, year = {2014}, url = {https://doi.org/10.1147/JRD.2014.2298134}, doi = {10.1147/JRD.2014.2298134}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/LiBCDFHLPPRRRSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/ChenSZWFCW14, author = {Fei Chen and Yi Shan and Yu Zhang and Yu Wang and Hubertus Franke and Xiaotao Chang and Kun Wang}, editor = {Pedro Trancoso and Diana Franklin and Sally A. McKee}, title = {Enabling FPGAs in the cloud}, booktitle = {Computing Frontiers Conference, CF'14, Cagliari, Italy - May 20 - 22, 2014}, pages = {3:1--3:10}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2597917.2597929}, doi = {10.1145/2597917.2597929}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/ChenSZWFCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/NevesRKF14, author = {Marcelo Veiga Neves and C{\'{e}}sar A. F. De Rose and Kostas Katrinis and Hubertus Franke}, title = {Pythia: Faster Big Data in Motion through Predictive Software-Defined Network Optimization at Runtime}, booktitle = {2014 {IEEE} 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014}, pages = {82--90}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IPDPS.2014.20}, doi = {10.1109/IPDPS.2014.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/NevesRKF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/ZerfosSYDFA13, author = {Petros Zerfos and Mudhakar Srivatsa and Hao Yu and D. Dennerline and Hubertus Franke and Dakshi Agrawal}, title = {Platform and applications for massive-scale streaming network analytics}, journal = {{IBM} J. Res. Dev.}, volume = {57}, number = {3/4}, pages = {11}, year = {2013}, url = {https://doi.org/10.1147/JRD.2013.2245991}, doi = {10.1147/JRD.2013.2245991}, timestamp = {Mon, 27 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/ZerfosSYDFA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/PasettoFQGGDNPBCS13, author = {Davide Pasetto and Hubertus Franke and Weihong Qian and Zhili Guo and Honglei Guo and Dongxu Duan and Yuan Ni and Yingxin Pan and Shenghua Bao and Feng Cao and Zhong Su}, editor = {Hubertus Franke and Alexander Heinecke and Krishna V. Palem and Eli Upfal}, title = {{RTS} - an integrated analytic solution for managing regulation changes and their impact on business compliance}, booktitle = {Computing Frontiers Conference, CF'13, Ischia, Italy, May 14 - 16, 2013}, pages = {24:1--24:8}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2482767.2482798}, doi = {10.1145/2482767.2482798}, timestamp = {Tue, 06 Nov 2018 11:07:32 +0100}, biburl = {https://dblp.org/rec/conf/cf/PasettoFQGGDNPBCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ChangFGLWXCZ13, author = {Xiaotao Chang and Hubertus Franke and Yi Ge and Tao Liu and Kun Wang and Jimi Xenidis and Fei Chen and Yu Zhang}, editor = {Avi Mendelson}, title = {Improving virtualization in the presence of software managed translation lookaside buffers}, booktitle = {The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013}, pages = {120--129}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2485922.2485933}, doi = {10.1145/2485922.2485933}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/ChangFGLWXCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2013, editor = {Hubertus Franke and Alexander Heinecke and Krishna V. Palem and Eli Upfal}, title = {Computing Frontiers Conference, CF'13, Ischia, Italy, May 14 - 16, 2013}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2482767}, doi = {10.1145/2482767}, isbn = {978-1-4503-2053-5}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/FrankeKT12, author = {Hubertus Franke and Paul H. J. Kelly and Pedro Trancoso}, title = {Guest Editorial: Computing Frontiers}, journal = {Int. J. Parallel Program.}, volume = {40}, number = {6}, pages = {551--552}, year = {2012}, url = {https://doi.org/10.1007/s10766-012-0215-8}, doi = {10.1007/S10766-012-0215-8}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/FrankeKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/PasettoMFPX12, author = {Davide Pasetto and Massimiliano Meneghin and Hubertus Franke and Fabrizio Petrini and Jimi Xenidis}, editor = {Dick H. J. Epema and Thilo Kielmann and Matei Ripeanu}, title = {Performance evaluation of interthread communicationmechanisms on multicore/multithreaded architectures}, booktitle = {The 21st International Symposium on High-Performance Parallel and Distributed Computing, HPDC'12, Delft, Netherlands - June 18 - 22, 2012}, pages = {131--132}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2287076.2287098}, doi = {10.1145/2287076.2287098}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/PasettoMFPX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbesc/PasettoFSMPACP12, author = {Davide Pasetto and Hubertus Franke and Kai Schleupen and David Maze and Hartmut Penner and Heather D. Achilles and Catherine H. Crawford and Mark Purcell}, title = {Design and Implementation of a Network Centric Appliance Platform}, booktitle = {2012 Brazilian Symposium on Computing System Engineering, Natal, Brazil, November 5-7, 2012}, pages = {204--207}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SBESC.2012.46}, doi = {10.1109/SBESC.2012.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbesc/PasettoFSMPACP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ife/PasettoLTMPF11, author = {Davide Pasetto and Karol Lynch and Robert Tucker and Brendan Maguire and Fabrizio Petrini and Hubertus Franke}, title = {Ultra low latency market data feed on {IBM} PowerEN\({}^{\mbox{TM}}\)}, journal = {Comput. Sci. Res. Dev.}, volume = {26}, number = {3-4}, pages = {307--315}, year = {2011}, url = {https://doi.org/10.1007/s00450-011-0166-0}, doi = {10.1007/S00450-011-0166-0}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ife/PasettoLTMPF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adc/WuSMFIVW11, author = {Shanchan Wu and Yefim Shuf and Hong Min and Hubertus Franke and Balakrishna Iyer and Frances H. Villafuerte and Julie Watts}, editor = {Heng Tao Shen and Yanchun Zhang}, title = {Analyzing and Improving Table Space Allocation}, booktitle = {Twenty-Second Australasian Database Conference, {ADC} 2011, Perth, Australia, January 2011}, series = {{CRPIT}}, volume = {115}, pages = {27--36}, publisher = {Australian Computer Society}, year = {2011}, url = {http://crpit.scem.westernsydney.edu.au/abstracts/CRPITV115Wu.html}, timestamp = {Thu, 25 Jul 2019 14:09:43 +0200}, biburl = {https://dblp.org/rec/conf/adc/WuSMFIVW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/ZhuGWKLF11, author = {Zhenbo Zhu and Parul Gupta and Qing Wang and Shivkumar Kalyanaraman and Yonghua Lin and Hubertus Franke and Smruti R. Sarangi}, editor = {Calin Cascaval and Pedro Trancoso and Viktor K. Prasanna}, title = {Virtual base station pool: towards a wireless network cloud for radio access networks}, booktitle = {Proceedings of the 8th Conference on Computing Frontiers, 2011, Ischia, Italy, May 3-5, 2011}, pages = {34}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2016604.2016646}, doi = {10.1145/2016604.2016646}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/ZhuGWKLF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangMFWHYN11, author = {Xiaotao Chang and Yike Ma and Hubertus Franke and Kun Wang and Rui Hou and Hao Yu and Terry Nelms}, title = {Optimization of stateful hardware acceleration in hybrid architectures}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {567--570}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763285}, doi = {10.1109/DATE.2011.5763285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChangMFWHYN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HouZHWFGC11, author = {Rui Hou and Lixin Zhang and Michael C. Huang and Kun Wang and Hubertus Franke and Yi Ge and Xiaotao Chang}, title = {Efficient data streaming with on-chip accelerators: Opportunities and challenges}, booktitle = {17th International Conference on High-Performance Computer Architecture {(HPCA-17} 2011), February 12-16 2011, San Antonio, Texas, {USA}}, pages = {312--320}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/HPCA.2011.5749739}, doi = {10.1109/HPCA.2011.5749739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/HouZHWFGC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/LaPotinDJHIFADGD10, author = {David P. LaPotin and Shahrokh Daijavad and Charles L. Johnson and Steven W. Hunter and Kazuaki Ishizaki and Hubertus Franke and Heather D. Achilles and Dan P. Dumarot and Nancy A. Greco and Bijan Davari}, title = {Workload and network-optimized computing systems}, journal = {{IBM} J. Res. Dev.}, volume = {54}, number = {1}, pages = {1}, year = {2010}, url = {https://doi.org/10.1147/JRD.2009.2036972}, doi = {10.1147/JRD.2009.2036972}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmrd/LaPotinDJHIFADGD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/FrankeNYAS10, author = {Hubertus Franke and Terry Nelms and Hao Yu and Heather D. Achilles and R. Salz}, title = {Exploiting heterogeneous multicore-processor systems for high-performance network processing}, journal = {{IBM} J. Res. Dev.}, volume = {54}, number = {1}, pages = {2}, year = {2010}, url = {https://doi.org/10.1147/JRD.2009.2036970}, doi = {10.1147/JRD.2009.2036970}, timestamp = {Mon, 27 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/FrankeNYAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/FrankeXBBWBJ10, author = {Hubertus Franke and Jimi Xenidis and Claude Basso and Brian M. Bass and Sandra S. Woodward and Jeffrey D. Brown and Charles L. Johnson}, title = {Introduction to the wire-speed processor and architecture}, journal = {{IBM} J. Res. Dev.}, volume = {54}, number = {1}, pages = {3}, year = {2010}, url = {https://doi.org/10.1147/JRD.2009.2036980}, doi = {10.1147/JRD.2009.2036980}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/FrankeXBBWBJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/CascavalCFGP10, author = {Calin Cascaval and Siddhartha Chatterjee and Hubertus Franke and Kevin J. Gildea and Pratap Pattnaik}, title = {A taxonomy of accelerator architectures and their programming models}, journal = {{IBM} J. Res. Dev.}, volume = {54}, number = {5}, pages = {5}, year = {2010}, url = {https://doi.org/10.1147/JRD.2010.2059721}, doi = {10.1147/JRD.2010.2059721}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmrd/CascavalCFGP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JohnsonABVHACMFXB10, author = {Charles L. Johnson and David H. Allen and Jeffrey D. Brown and Steve Vanderwiel and Russ Hoover and Heather D. Achilles and Chen{-}Yong Cher and George A. May and Hubertus Franke and Jimi Xenidis and Claude Basso}, title = {A wire-speed power\({}^{\mbox{TM}}\) processor: 2.3GHz 45nm {SOI} with 16 cores and 64 threads}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {104--105}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5434075}, doi = {10.1109/ISSCC.2010.5434075}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JohnsonABVHACMFXB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/MinF10, author = {Hong Min and Hubertus Franke}, editor = {Jos{\'{e}} E. Moreira and Vinod E. F. Rebello and Bruno Schulze and Philippe O. A. Navaux}, title = {Improving In-memory Column-Store Database Predicate Evaluation Performance on Multi-core Systems}, booktitle = {22st International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2010, Petropolis, Brazil, October 27-30, 2010}, pages = {63--70}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SBAC-PAD.2010.17}, doi = {10.1109/SBAC-PAD.2010.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/MinF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cf/2010, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, publisher = {{ACM}}, year = {2010}, isbn = {978-1-4503-0044-5}, timestamp = {Tue, 25 May 2010 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ebusiness/FrankeM09, author = {Hubertus Franke and Sandra Matteoschat}, editor = {Wilhelm Dangelmaier and Alexander Blecken and Nando R{\"{u}}ngener}, title = {Dezentrale Produktionsablaufplanung mittels Agentensimulationen}, booktitle = {Nachhaltigkeit in flexiblen Produktions- und Liefernetzwerken, 11. Paderborner Fr{\"{u}}hjahrstagung, 2. April 2009, Heinz Nixdorf Institut, Paderborn, Germany}, pages = {163--180}, publisher = {Monsenstein und Vannerdat}, year = {2009}, timestamp = {Wed, 29 Jul 2009 12:22:38 +0200}, biburl = {https://dblp.org/rec/conf/ebusiness/FrankeM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/YuFBGNB08, author = {Hao Yu and Hubertus Franke and Giora Biran and Amit Golander and Terry Nelms and Brian M. Bass}, editor = {Mark A. Franklin and Dhabaleswar K. Panda and Dimitrios Stiliadis}, title = {Stateful hardware decompression in networking environment}, booktitle = {Proceedings of the 2008 {ACM/IEEE} Symposium on Architecture for Networking and Communications Systems, {ANCS} 2008, San Jose, California, USA, November 6-7, 2008}, pages = {141--150}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1477942.1477968}, doi = {10.1145/1477942.1477968}, timestamp = {Mon, 27 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ancs/YuFBGNB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/TsengYNDFPIN07, author = {Jessica H. Tseng and Hao Yu and Shailabh Nagar and Niteesh Dubey and Hubertus Franke and Pratap Pattnaik and Hiroshi Inoue and Toshio Nakatani}, title = {Performance Studies of Commercial Workloads on a Multi-core System}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {57--65}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362181}, doi = {10.1109/IISWC.2007.4362181}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/TsengYNDFPIN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChoiCFHWB07, author = {Jeonghwan Choi and Chen{-}Yong Cher and Hubertus Franke and Hendrik F. Hamann and Alan J. Weger and Pradip Bose}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {Thermal-aware task scheduling at the system software level}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {213--218}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283826}, doi = {10.1145/1283780.1283826}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ChoiCFHWB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcn/LimCFZ06, author = {Sang Seok Lim and Jong Hyuk Choi and Hubertus Franke and Kurt D. Zeilenga}, editor = {Thomas Fahringer}, title = {Improving Connection Management of the OpenLDAP Directory Server}, booktitle = {Proceedings of the {IASTED} International Conference on Parallel and Distributed Computing and Networks, as part of the 24th {IASTED} International Multi-Conference on Applied Informatics, February 14-16 2006, Innsbruck, Austria}, pages = {225--230}, publisher = {{IASTED/ACTA} Press}, year = {2006}, timestamp = {Mon, 19 Jun 2006 11:10:28 +0200}, biburl = {https://dblp.org/rec/conf/pdcn/LimCFZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cas/FrankeD04, author = {Hubertus Franke and Wilhelm Dangelmaier}, title = {A Web-Based Multi-Agent System for Transportation Management to Protect Our Natural Environment}, journal = {Cybern. Syst.}, volume = {35}, number = {7-8}, pages = {627--638}, year = {2004}, url = {https://doi.org/10.1080/01969720490499399}, doi = {10.1080/01969720490499399}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cas/FrankeD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/ChoiF04, author = {Jong Hyuk Choi and Hubertus Franke}, editor = {Marco Danelutto and Marco Vanneschi and Domenico Laforenza}, title = {Storage Power Management for Cluster Servers Using Remote Disk Access}, booktitle = {Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3149}, pages = {460--467}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-27866-5\_60}, doi = {10.1007/978-3-540-27866-5\_60}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/ChoiF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ZhangSFGZN04, author = {Jianyong Zhang and Anand Sivasubramaniam and Hubertus Franke and Natarajan Gautam and Yanyong Zhang and Shailabh Nagar}, title = {Synthesizing Representative {I/O} Workloads for {TPC-H}}, booktitle = {10th International Conference on High-Performance Computer Architecture {(HPCA-10} 2004), 14-18 February 2004, Madrid, Spain}, pages = {142--151}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/HPCA.2004.10019}, doi = {10.1109/HPCA.2004.10019}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ZhangSFGZN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icac/FrankeNSKZK04, author = {Hubertus Franke and Shailabh Nagar and Chandra Seetharaman and Vivek Kashyap and Haoqiang Zheng and Jiantao Kong}, title = {Enabling Autonomic Workload Management in Linux}, booktitle = {1st International Conference on Autonomic Computing {(ICAC} 2004), 17-19 May 2004, New York, NY, {USA}}, pages = {314--315}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ICAC.2004.30}, doi = {10.1109/ICAC.2004.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icac/FrankeNSKZK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/GurumurthiSKF03, author = {Sudhanva Gurumurthi and Anand Sivasubramaniam and Mahmut T. Kandemir and Hubertus Franke}, title = {Reducing Disk Power Consumption in Servers with {DRPM}}, journal = {Computer}, volume = {36}, number = {12}, pages = {59--66}, year = {2003}, url = {https://doi.org/10.1109/MC.2003.1250884}, doi = {10.1109/MC.2003.1250884}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/GurumurthiSKF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icae/FrankeD03, author = {Hubertus Franke and Wilhelm Dangelmaier}, title = {Decentralized management for transportation-logistics: {A} multi agent based approach}, journal = {Integr. Comput. Aided Eng.}, volume = {10}, number = {2}, pages = {203--210}, year = {2003}, url = {https://doi.org/10.3233/ica-2003-10209}, doi = {10.3233/ICA-2003-10209}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icae/FrankeD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ZhangFMS03, author = {Yanyong Zhang and Hubertus Franke and Jos{\'{e}} E. Moreira and Anand Sivasubramaniam}, title = {An Integrated Approach to Parallel Scheduling Using Gang-Scheduling, Backfilling, and Migration}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {14}, number = {3}, pages = {236--247}, year = {2003}, url = {https://doi.org/10.1109/TPDS.2003.1189582}, doi = {10.1109/TPDS.2003.1189582}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ZhangFMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ZhangZSLF03, author = {Yanyong Zhang and Jianyong Zhang and Anand Sivasubramaniam and Chun Liu and Hubertus Franke}, title = {Decision-Support Workload Characteristics on a Clustered Database Server from the {OS} Perspective}, booktitle = {23rd International Conference on Distributed Computing Systems {(ICDCS} 2003), 19-22 May 2003, Providence, RI, {USA}}, pages = {386--393}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICDCS.2003.1203488}, doi = {10.1109/ICDCS.2003.1203488}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/ZhangZSLF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/GurumurthiSKF03, author = {Sudhanva Gurumurthi and Anand Sivasubramaniam and Mahmut T. Kandemir and Hubertus Franke}, editor = {Allan Gottlieb and Kai Li}, title = {{DRPM:} Dynamic Speed Control for Power Mangagement in Server Class Disks}, booktitle = {30th International Symposium on Computer Architecture {(ISCA} 2003), 9-11 June 2003, San Diego, California, {USA}}, pages = {169--179}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISCA.2003.1206998}, doi = {10.1109/ISCA.2003.1206998}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/GurumurthiSKF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GurumurthiZSKFVI03, author = {Sudhanva Gurumurthi and Jianyong Zhang and Anand Sivasubramaniam and Mahmut T. Kandemir and Hubertus Franke and Narayanan Vijaykrishnan and Mary Jane Irwin}, title = {Interplay of energy and performance for disk arrays running transaction processing workloads}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190239}, doi = {10.1109/ISPASS.2003.1190239}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GurumurthiZSKFVI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispe/DangelmaierFS03, author = {Wilhelm Dangelmaier and Hubertus Franke and P. Scheideler}, editor = {Ricardo Jardim{-}Gon{\c{c}}alves and Jianzhong Cha and Adolfo Steiger{-}Gar{\c{c}}{\~{a}}o}, title = {Combined parallel and agent-based methods in distributed logistics networks}, booktitle = {Enhanced Interoperable Systems. Proceedings of the 10th {ISPE} International Conference on Concurrent Engineering {(ISPE} {CE} 2003), July 26-30, 2003, Madeira, Portugal}, pages = {99--103}, publisher = {A. A. Balkema Publishers}, year = {2003}, timestamp = {Thu, 21 Sep 2023 11:30:06 +0200}, biburl = {https://dblp.org/rec/conf/ispe/DangelmaierFS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/ZhangSZNF02, author = {Yanyong Zhang and Anand Sivasubramaniam and Jianyong Zhang and Shailabh Nagar and Hubertus Franke}, editor = {Burkhard Monien and Rainer Feldmann}, title = {Characterizing the Scalability of Decision-Support Workloads on Clusters and {SMP} Systems}, booktitle = {Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2400}, pages = {355--364}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45706-2\_47}, doi = {10.1007/3-540-45706-2\_47}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/ZhangSZNF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/ShufGFAS02, author = {Yefim Shuf and Manish Gupta and Hubertus Franke and Andrew W. Appel and Jaswinder Pal Singh}, editor = {Mamdouh Ibrahim and Satoshi Matsuoka}, title = {Creating and preserving locality of java applications at allocation and garbage collection times}, booktitle = {Proceedings of the 2002 {ACM} {SIGPLAN} Conference on Object-Oriented Programming Systems, Languages and Applications, {OOPSLA} 2002, Seattle, Washington, USA, November 4-8, 2002}, pages = {13--25}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/582419.582422}, doi = {10.1145/582419.582422}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/oopsla/ShufGFAS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/SquillanteZSGFM02, author = {Mark S. Squillante and Yanyong Zhang and Anand Sivasubramaniam and Natarajan Gautam and Hubertus Franke and Jos{\'{e}} E. Moreira}, editor = {Richard R. Muntz and Margaret Martonosi and Edmundo de Souza e Silva}, title = {Modeling and analysis of dynamic coscheduling in parallel and distributed environments}, booktitle = {Proceedings of the International Conference on Measurements and Modeling of Computer Systems, {SIGMETRICS} 2002, June 15-19, 2002, Marina Del Rey, California, {USA}}, pages = {43--54}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/511334.511341}, doi = {10.1145/511334.511341}, timestamp = {Fri, 30 Jul 2021 16:13:33 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/SquillanteZSGFM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/BrockCCDBEFGGPRRRRR01, author = {Bishop Brock and Gary D. Carpenter and Eli Chiprout and Mark E. Dean and Philippe L. De Backer and E. N. Elnozahy and Hubertus Franke and Mark Giampapa and David Glasco and James L. Peterson and Ramakrishnan Rajamony and Rajan Ravindran and Freeman L. Rawson III and Ronald L. Rockhold and Juan Rubio}, title = {Experience with building a commodity Intel-based ccNUMA system}, journal = {{IBM} J. Res. Dev.}, volume = {45}, number = {2}, pages = {207--228}, year = {2001}, url = {https://doi.org/10.1147/rd.452.0207}, doi = {10.1147/RD.452.0207}, timestamp = {Mon, 03 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ibmrd/BrockCCDBEFGGPRRRRR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/AbaliFPSSHS01, author = {B{\"{u}}lent Abali and Hubertus Franke and Dan E. Poff and Robert A. Saccone Jr. and Charles O. Schulz and Lorraine Herger and T. Basil Smith}, title = {Memory Expansion Technology {(MXT):} Software support and performance}, journal = {{IBM} J. Res. Dev.}, volume = {45}, number = {2}, pages = {287--302}, year = {2001}, url = {https://doi.org/10.1147/rd.452.0287}, doi = {10.1147/RD.452.0287}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/AbaliFPSSHS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/AbaliBSFPS01, author = {B{\"{u}}lent Abali and Mohammad Banikazemi and Xiaowei Shen and Hubertus Franke and Dan E. Poff and T. Basil Smith}, title = {Hardware Compressed Main Memory: Operating System Support and Performance Evaluation}, journal = {{IEEE} Trans. Computers}, volume = {50}, number = {11}, pages = {1219--1233}, year = {2001}, url = {https://doi.org/10.1109/12.966496}, doi = {10.1109/12.966496}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/AbaliBSFPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ZhangSMF01, author = {Yanyong Zhang and Anand Sivasubramaniam and Jos{\'{e}} E. Moreira and Hubertus Franke}, title = {Impact of Workload and System Parameters on Next Generation Cluster Scheduling Mechanisms}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {12}, number = {9}, pages = {967--985}, year = {2001}, url = {https://doi.org/10.1109/71.954632}, doi = {10.1109/71.954632}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ZhangSMF01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alsc/FrankeNKR01, author = {Hubertus Franke and Shailabh Nagar and Mike Kravetz and Rajan Ravindran}, editor = {Bryan C. Andregg}, title = {{PMQS:} Scalable Linux Scheduling for High End Servers}, booktitle = {5th Annual Linux Showcase {\&} Conference 2001, Oakland, California, USA, November 5-10, 2001}, publisher = {{USENIX} Association}, year = {2001}, url = {https://www.usenix.org/conference/als-01/pmqs-scalable-linux-scheduling-high-end-servers}, timestamp = {Wed, 04 Jul 2018 13:06:34 +0200}, biburl = {https://dblp.org/rec/conf/alsc/FrankeNKR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/AbaliFSPS01, author = {B{\"{u}}lent Abali and Hubertus Franke and Xiaowei Shen and Dan E. Poff and T. Basil Smith}, title = {Performance of Hardware Compressed Main Memory}, booktitle = {Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24, 2001}, pages = {73--81}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/HPCA.2001.903253}, doi = {10.1109/HPCA.2001.903253}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/AbaliFSPS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/ZhangFMS01, author = {Yanyong Zhang and Hubertus Franke and Jos{\'{e}} E. Moreira and Anand Sivasubramaniam}, editor = {Dror G. Feitelson and Larry Rudolph}, title = {An Integrated Approach to Parallel Scheduling Using Gang-Scheduling, Backfilling, and Migration}, booktitle = {Job Scheduling Strategies for Parallel Processing, 7th International Workshop, {JSSPP} 2001, Cambridge, MA, USA, June 16, 2001, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2221}, pages = {133--158}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45540-X\_9}, doi = {10.1007/3-540-45540-X\_9}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/jsspp/ZhangFMS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/ZhangFMS00, author = {Yanyong Zhang and Hubertus Franke and Jos{\'{e}} E. Moreira and Anand Sivasubramaniam}, editor = {Arndt Bode and Thomas Ludwig and Wolfgang Karl and Roland Wism{\"{u}}ller}, title = {The Impact of Migration on Parallel Job Scheduling for Distributed Systems}, booktitle = {Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1900}, pages = {242--251}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44520-X\_33}, doi = {10.1007/3-540-44520-X\_33}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/ZhangFMS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ZhangSMF00, author = {Yanyong Zhang and Anand Sivasubramaniam and Jos{\'{e}} E. Moreira and Hubertus Franke}, editor = {John Reynders and Alexander V. Veidenbaum}, title = {A simulation-based study of scheduling mechanisms for a dynamic cluster environment}, booktitle = {Proceedings of the 14th international conference on Supercomputing, {ICS} 2000, Santa Fe, NM, USA, May 8-11, 2000}, pages = {100--109}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/335231.335241}, doi = {10.1145/335231.335241}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/ZhangSMF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ZhangSFM00, author = {Yanyong Zhang and Anand Sivasubramaniam and Hubertus Franke and Jos{\'{e}} E. Moreira}, title = {Improving Parallel Job Scheduling by Combining Gang Scheduling and Backfilling Techniques}, booktitle = {Proceedings of the 14th International Parallel {\&} Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000}, pages = {133--142}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/IPDPS.2000.845975}, doi = {10.1109/IPDPS.2000.845975}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ZhangSFM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/MoreiraFCFJY99, author = {Jos{\'{e}} E. Moreira and Hubertus Franke and Waiman Chan and Liana L. Fong and Morris A. Jette and Andy B. Yoo}, editor = {Peter M. A. Sloot and Marian Bubak and Alfons G. Hoekstra and Louis O. Hertzberger}, title = {A Gang-Scheduling System for {ASCI} Blue-Pacific}, booktitle = {High-Performance Computing and Networking, 7th International Conference, {HPCN} Europe 1999, Amsterdam, The Netherlands, April 12-14, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1593}, pages = {831--840}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/BFb0100643}, doi = {10.1007/BFB0100643}, timestamp = {Sun, 12 Nov 2023 02:09:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcn/MoreiraFCFJY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FrankeMP99, author = {Hubertus Franke and Jos{\'{e}} E. Moreira and Pratap Pattnaik}, editor = {Dror G. Feitelson and Larry Rudolph}, title = {Process Tracking for Parallel Job Control}, booktitle = {Job Scheduling Strategies for Parallel Processing, IPPS/SPDP'99 Workshop, JSSPP'99, San Juan, Puerto Rico, April 16, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1659}, pages = {144--161}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-47954-6\_8}, doi = {10.1007/3-540-47954-6\_8}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/ipps/FrankeMP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/FrankeJMPJ99, author = {Hubertus Franke and Joefon Jann and Jos{\'{e}} E. Moreira and Pratap Pattnaik and Morris A. Jette}, title = {An Evaluation of Parallel Job Scheduling for {ASCI} Blue-Pacific}, booktitle = {Proceedings of the {ACM/IEEE} Conference on Supercomputing, {SC} 1999, November 13-19, 1999, Portland, Oregon, {USA}}, pages = {45}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/331532.331577}, doi = {10.1145/331532.331577}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/FrankeJMPJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/MoreiraCFFJ98, author = {Jos{\'{e}} E. Moreira and Waiman Chan and Liana L. Fong and Hubertus Franke and Morris A. Jette}, title = {An Infrastructure for Efficient Parallel Job Execution in Terascale Computing Environments}, booktitle = {Proceedings of the {ACM/IEEE} Conference on Supercomputing, {SC} 1998, November 7-13, 1998, Orlando, FL, {USA}}, pages = {50}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/SC.1998.10026}, doi = {10.1109/SC.1998.10026}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/MoreiraCFFJ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/FrankeSK97, author = {Hubertus Franke and Janos Sztipanovits and Gabor Karsai}, title = {Model-Integrated Programming}, booktitle = {30th Annual Hawaii International Conference on System Sciences (HICSS-30), 7-10 January 1997, Maui, Hawaii, {USA}}, pages = {415--422}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HICSS.1997.667291}, doi = {10.1109/HICSS.1997.667291}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/FrankeSK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/FrankePR97, author = {Hubertus Franke and Pratap Pattnaik and Larry Rudolph}, title = {Gang Scheduling for the {IBM} {SP-2} Workstation Cluster}, booktitle = {30th Annual Hawaii International Conference on System Sciences (HICSS-30), 7-10 January 1997, Maui, Hawaii, {USA}}, pages = {630--631}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HICSS.1997.667422}, doi = {10.1109/HICSS.1997.667422}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/FrankePR97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotos/AuslanderFGKS97, author = {Marc A. Auslander and Hubertus Franke and Benjamin Gamsa and Orran Krieger and Michael Stumm}, title = {Customization Lite}, booktitle = {Proceedings of The Sixth Workshop on Hot Topics in Operating Systems, HotOS-VI, Cape Cod, Massachusetts, USA, May 5-6, 1997}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/HOTOS.1997.595180}, doi = {10.1109/HOTOS.1997.595180}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotos/AuslanderFGKS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/JannPFWSR97, author = {Joefon Jann and Pratap Pattnaik and Hubertus Franke and Fang Wang and Joseph Skovira and Joseph Riordan}, editor = {Dror G. Feitelson and Larry Rudolph}, title = {Modeling of Workload in MPPs}, booktitle = {Job Scheduling Strategies for Parallel Processing, IPPS'97 Workshop, Geneva, Switzerland, April 5, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1291}, pages = {95--116}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/3-540-63574-2\_18}, doi = {10.1007/3-540-63574-2\_18}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/jsspp/JannPFWSR97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeecc/WuFL96, author = {Ching{-}Farn Eric Wu and Hubertus Franke and Yew{-}Huey Liu}, title = {A Unified Trace Environment for {IBM} {SP} systems}, journal = {{IEEE} Parallel Distributed Technol. Syst. Appl.}, volume = {4}, number = {2}, pages = {89--93}, year = {1996}, url = {https://doi.org/10.1109/M-PDT.1996.494613}, doi = {10.1109/M-PDT.1996.494613}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeecc/WuFL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecbs/SztipanovitsKF96, author = {Janos Sztipanovits and Gabor Karsai and Hubertus Franke}, title = {Model-Integrated Program Synthesis Environment}, booktitle = {{IEEE} Symposium and Workshop on Engineering of Computer Based Systems (ECBS'96), March 11-15, 1996, Friedrichshafen, Germany}, pages = {348--355}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ECBS.1996.494548}, doi = {10.1109/ECBS.1996.494548}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecbs/SztipanovitsKF96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/WangFPPRS96, author = {Fang Wang and Hubertus Franke and Marios C. Papaefthymiou and Pratap Pattnaik and Larry Rudolph and Mark S. Squillante}, editor = {Dror G. Feitelson and Larry Rudolph}, title = {A Gang Scheduling Design for Multiprogrammed Parallel Computing Environments}, booktitle = {Job Scheduling Strategies for Parallel Processing, IPPS'96 Workshop, Honolulu, Haiwai, USA, April 16, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1162}, pages = {111--125}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0022290}, doi = {10.1007/BFB0022290}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/jsspp/WangFPPRS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/FrankeWRPS95, author = {Hubertus Franke and Ching{-}Farn Eric Wu and Michel Riviere and Pratap Pattnaik and Marc Snir}, title = {{MPI} Programming Environment for {IBM} {SP1/SP2}}, booktitle = {Proceedings of the 15th International Conference on Distributed Computing Systems, Vancouver, British Columbia, Canada, May 30 - June 2, 1995}, pages = {127--135}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICDCS.1995.500011}, doi = {10.1109/ICDCS.1995.500011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/FrankeWRPS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceccs/KarsaiSFPD95, author = {Gabor Karsai and Janos Sztipanovits and Hubertus Franke and Samir Padalkar and Frank DeCaria}, title = {Model-embedded on-line problem solving environment for chemical engineering}, booktitle = {1st {IEEE} International Conference on Engineering of Complex Computer Systems {(ICECCS} '95), November 6-10, 1995, Fort Lauderdale, Florida, {USA}}, pages = {227--233}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICECCS.1995.479334}, doi = {10.1109/ICECCS.1995.479334}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iceccs/KarsaiSFPD95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/FrankeHPS94, author = {Hubertus Franke and Peter H. Hochschild and Pratap Pattnaik and Marc Snir}, editor = {Jagdish Chandra}, title = {{MPI-F:} An Efficient Implementation of {MPI} on {IBM-SP1}}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Algorithms {\&} Applications}, pages = {197--201}, publisher = {{CRC} Press}, year = {1994}, url = {https://doi.org/10.1109/ICPP.1994.131}, doi = {10.1109/ICPP.1994.131}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/FrankeHPS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/YangFF90, author = {Hua Yang and Douglas H. Fisher and Hubertus Franke}, title = {Improving Planning Efficient by Conceptual Clustering}, booktitle = {Proceedings of the Third International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, {IEA/AIE} 1990, July 15-18, 1990, The Mills House Hotel, Charleston, SC, {USA} - Volume 2}, pages = {942--948}, publisher = {{ACM}}, year = {1990}, url = {https://doi.org/10.1145/98894.99104}, doi = {10.1145/98894.99104}, timestamp = {Fri, 19 Jul 2019 16:23:28 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/YangFF90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.