Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Mark Horowitz
@article{DBLP:journals/jssc/FengKKMCLNSZNSTSCBMDJRTHR24, author = {Kathleen Feng and Taeyoung Kong and Kalhan Koul and Jackson Melchert and Alex Carsello and Qiaoyi Liu and Gedeon Nyengele and Maxwell Strange and Keyi Zhang and Ankita Nayak and Jeff Setter and James Thomas and Kavya Sreedhar and Po{-}Han Chen and Nikhil Bhagdikar and Zachary Myers and Brandon D'Agostino and Pranil Joshi and Stephen Richardson and Christopher Torng and Mark Horowitz and Priyanka Raina}, title = {Amber: {A} 16-nm System-on-Chip With a Coarse- Grained Reconfigurable Array for Flexible Acceleration of Dense Linear Algebra}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {3}, pages = {947--959}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3313116}, doi = {10.1109/JSSC.2023.3313116}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/FengKKMCLNSZNSTSCBMDJRTHR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/MelchertZMHTR23, author = {Jackson Melchert and Keyi Zhang and Yuchen Mei and Mark Horowitz and Christopher Torng and Priyanka Raina}, title = {Canal: {A} Flexible Interconnect Generator for Coarse-Grained Reconfigurable Arrays}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {22}, number = {1}, pages = {45--48}, year = {2023}, url = {https://doi.org/10.1109/LCA.2023.3268126}, doi = {10.1109/LCA.2023.3268126}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/MelchertZMHTR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/snam/ReddyNPOSVH23, author = {Michelle Reddy and J{\'{u}}lio C. Nardelli and Yuri L. Pereira and Leonardo B. Oliveira and Thiago H. Silva and Marisa Vasconcelos and Mark Horowitz}, title = {Higher education's influence on social networks and entrepreneurship in Brazil}, journal = {Soc. Netw. Anal. Min.}, volume = {13}, number = {1}, pages = {2}, year = {2023}, url = {https://doi.org/10.1007/s13278-022-01011-6}, doi = {10.1007/S13278-022-01011-6}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/snam/ReddyNPOSVH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/LiuSHSFHRK23, author = {Qiaoyi Liu and Jeff Setter and Dillon Huff and Maxwell Strange and Kathleen Feng and Mark Horowitz and Priyanka Raina and Fredrik Kjolstad}, title = {Unified Buffer: Compiling Image Processing and Machine Learning Applications to Push-Memory Accelerators}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {20}, number = {2}, pages = {26:1--26:26}, year = {2023}, url = {https://doi.org/10.1145/3572908}, doi = {10.1145/3572908}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/LiuSHSFHRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KoulMSTNZLSCMSDDCKFHNSTBDMTRBFHBHT23, author = {Kalhan Koul and Jackson Melchert and Kavya Sreedhar and Leonard Truong and Gedeon Nyengele and Keyi Zhang and Qiaoyi Liu and Jeff Setter and Po{-}Han Chen and Yuchen Mei and Maxwell Strange and Ross Daly and Caleb Donovick and Alex Carsello and Taeyoung Kong and Kathleen Feng and Dillon Huff and Ankita Nayak and Rajsekhar Setaluri and James Thomas and Nikhil Bhagdikar and David Durst and Zachary Myers and Nestan Tsiskaridze and Stephen Richardson and Rick Bahr and Kayvon Fatahalian and Pat Hanrahan and Clark W. Barrett and Mark Horowitz and Christopher Torng and Fredrik Kjolstad and Priyanka Raina}, title = {{AHA:} An Agile Approach to the Design of Coarse-Grained Reconfigurable Accelerators and Compilers}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {2}, pages = {35:1--35:34}, year = {2023}, url = {https://doi.org/10.1145/3534933}, doi = {10.1145/3534933}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/KoulMSTNZLSCMSDDCKFHNSTBDMTRBFHBHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/NayakZSCMTRBHHR23, author = {Ankita Nayak and Keyi Zhang and Rajsekhar Setaluri and Alex Carsello and Makai Mann and Christopher Torng and Stephen Richardson and Rick Bahr and Pat Hanrahan and Mark Horowitz and Priyanka Raina}, title = {Improving Energy Efficiency of CGRAs with Low-Overhead Fine-Grained Power Domains}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {16}, number = {2}, pages = {26:1--26:28}, year = {2023}, url = {https://doi.org/10.1145/3558394}, doi = {10.1145/3558394}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/NayakZSCMTRBHHR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/MelchertFDDSBHH23, author = {Jackson Melchert and Kathleen Feng and Caleb Donovick and Ross Daly and Ritvik Sharma and Clark W. Barrett and Mark A. Horowitz and Pat Hanrahan and Priyanka Raina}, editor = {Tor M. Aamodt and Natalie D. Enright Jerger and Michael M. Swift}, title = {{APEX:} {A} Framework for Automated Processing Element Design Space Exploration using Frequent Subgraph Analysis}, booktitle = {Proceedings of the 28th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023}, pages = {33--45}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3582016.3582070}, doi = {10.1145/3582016.3582070}, timestamp = {Tue, 21 Mar 2023 11:08:23 +0100}, biburl = {https://dblp.org/rec/conf/asplos/MelchertFDDSBHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/HsuSSWOEHK23, author = {Olivia Hsu and Maxwell Strange and Ritvik Sharma and Jaeyeon Won and Kunle Olukotun and Joel S. Emer and Mark A. Horowitz and Fredrik Kj{\o}lstad}, editor = {Tor M. Aamodt and Natalie D. Enright Jerger and Michael M. Swift}, title = {The Sparse Abstract Machine}, booktitle = {Proceedings of the 28th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023}, pages = {710--726}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3582016.3582051}, doi = {10.1145/3582016.3582051}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asplos/HsuSSWOEHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-00861, author = {Taeyoung Kong and Kalhan Koul and Priyanka Raina and Mark Horowitz and Christopher Torng}, title = {Hardware Abstractions and Hardware Mechanisms to Support Multi-Task Execution on Coarse-Grained Reconfigurable Arrays}, journal = {CoRR}, volume = {abs/2301.00861}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.00861}, doi = {10.48550/ARXIV.2301.00861}, eprinttype = {arXiv}, eprint = {2301.00861}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-00861.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-09552, author = {Song Han and Xingyu Liu and Huizi Mao and Jing Pu and Ardavan Pedram and Mark A. Horowitz and William J. Dally}, title = {Retrospective: {EIE:} Efficient Inference Engine on Sparse and Compressed Neural Network}, journal = {CoRR}, volume = {abs/2306.09552}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.09552}, doi = {10.48550/ARXIV.2306.09552}, eprinttype = {arXiv}, eprint = {2306.09552}, timestamp = {Thu, 22 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-09552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HerbstREH22, author = {Steven Herbst and Gabriel Rutsch and Wolfgang Ecker and Mark Horowitz}, title = {An Open-Source Framework for {FPGA} Emulation of Analog/Mixed-Signal Integrated Circuit Designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {7}, pages = {2223--2236}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3102516}, doi = {10.1109/TCAD.2021.3102516}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HerbstREH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/SreedharHT22, author = {Kavya Sreedhar and Mark Horowitz and Christopher Torng}, title = {A Fast Large-Integer Extended {GCD} Algorithm and Hardware Design for Verifiable Delay Functions and Modular Inversion}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2022}, number = {4}, pages = {163--187}, year = {2022}, url = {https://doi.org/10.46586/tches.v2022.i4.163-187}, doi = {10.46586/TCHES.V2022.I4.163-187}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tches/SreedharHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangAH22, author = {Keyi Zhang and Zain Asgar and Mark Horowitz}, editor = {Rob Oshana}, title = {Bringing source-level debugging frameworks to hardware generators}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1171--1176}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530603}, doi = {10.1145/3489517.3530603}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhangAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CarselloTNCHRT22, author = {Alex Carsello and James Thomas and Ankita Nayak and Po{-}Han Chen and Mark Horowitz and Priyanka Raina and Christopher Torng}, editor = {Rob Oshana}, title = {mflowgen: a modular flow generator and ecosystem for community-driven physical design: invited}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1339--1342}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530633}, doi = {10.1145/3489517.3530633}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/CarselloTNCHRT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/FengCKKLMNSZNST22, author = {Kathleen Feng and Alex Carsello and Taeyoung Kong and Kalhan Koul and Qiaoyi Liu and Jackson Melchert and Gedeon Nyengele and Maxwell Strange and Keyi Zhang and Ankita Nayak and Jeff Setter and James Thomas and Kavya Sreedhar and Po{-}Han Chen and Nikhil Bhagdikar and Zachary Myers and Brandon D'Agostino and Pranil Joshi and Stephen Richardson and Rick Bahr and Christopher Torng and Mark Horowitz and Priyanka Raina}, title = {Amber: Coarse-Grained Reconfigurable Array-Based SoC for Dense Linear Algebra Acceleration}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--30}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895616}, doi = {10.1109/HCS55958.2022.9895616}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/FengCKKLMNSZNST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/CarselloFKKLMNS22, author = {Alex Carsello and Kathleen Feng and Taeyoung Kong and Kalhan Koul and Qiaoyi Liu and Jackson Melchert and Gedeon Nyengele and Maxwell Strange and Keyi Zhang and Ankita Nayak and Jeff Setter and James Thomas and Kavya Sreedhar and Po{-}Han Chen and Nikhil Bhagdikar and Zachary Myers and Brandon D'Agostino and Pranil Joshi and Stephen Richardson and Rick Bahr and Christopher Torng and Mark Horowitz and Priyanka Raina}, title = {Amber: {A} 367 GOPS, 538 {GOPS/W} 16nm SoC with a Coarse-Grained Reconfigurable Array for Flexible Acceleration of Dense Linear Algebra}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {70--71}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830509}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830509}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/CarselloFKKLMNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-05742, author = {Keyi Zhang and Zain Asgar and Mark Horowitz}, title = {Bringing Source-Level Debugging Frameworks to Hardware Generators}, journal = {CoRR}, volume = {abs/2203.05742}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.05742}, doi = {10.48550/ARXIV.2203.05742}, eprinttype = {arXiv}, eprint = {2203.05742}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-05742.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-14610, author = {Olivia Hsu and Maxwell Strange and Jaeyeon Won and Ritvik Sharma and Kunle Olukotun and Joel S. Emer and Mark Horowitz and Fredrik Kjolstad}, title = {The Sparse Abstract Machine}, journal = {CoRR}, volume = {abs/2208.14610}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.14610}, doi = {10.48550/ARXIV.2208.14610}, eprinttype = {arXiv}, eprint = {2208.14610}, timestamp = {Thu, 01 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-14610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-13182, author = {Jackson Melchert and Yuchen Mei and Kalhan Koul and Qiaoyi Liu and Mark Horowitz and Priyanka Raina}, title = {Cascade: An Application Pipelining Toolkit for Coarse-Grained Reconfigurable Arrays}, journal = {CoRR}, volume = {abs/2211.13182}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.13182}, doi = {10.48550/ARXIV.2211.13182}, eprinttype = {arXiv}, eprint = {2211.13182}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-13182.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-17207, author = {Jackson Melchert and Keyi Zhang and Yuchen Mei and Mark Horowitz and Christopher Torng and Priyanka Raina}, title = {Canal: {A} Flexible Interconnect Generator for Coarse-Grained Reconfigurable Arrays}, journal = {CoRR}, volume = {abs/2211.17207}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.17207}, doi = {10.48550/ARXIV.2211.17207}, eprinttype = {arXiv}, eprint = {2211.17207}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-17207.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-02687, author = {Kavya Sreedhar and Jason Clemons and Rangharajan Venkatesan and Stephen W. Keckler and Mark Horowitz}, title = {Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications}, journal = {CoRR}, volume = {abs/2212.02687}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.02687}, doi = {10.48550/ARXIV.2212.02687}, eprinttype = {arXiv}, eprint = {2212.02687}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-02687.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/TsiskaridzeSMSL21, author = {Nestan Tsiskaridze and Maxwell Strange and Makai Mann and Kavya Sreedhar and Qiaoyi Liu and Mark Horowitz and Clark W. Barrett}, title = {Automating System Configuration}, booktitle = {Formal Methods in Computer Aided Design, {FMCAD} 2021, New Haven, CT, USA, October 19-22, 2021}, pages = {102--111}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.34727/2021/isbn.978-3-85448-046-4\_19}, doi = {10.34727/2021/ISBN.978-3-85448-046-4\_19}, timestamp = {Tue, 07 Dec 2021 17:02:16 +0100}, biburl = {https://dblp.org/rec/conf/fmcad/TsiskaridzeSMSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-14155, author = {Jackson Melchert and Kathleen Feng and Caleb Donovick and Ross Daly and Clark W. Barrett and Mark Horowitz and Pat Hanrahan and Priyanka Raina}, title = {Automated Design Space Exploration of {CGRA} Processing Element Architectures using Frequent Subgraph Analysis}, journal = {CoRR}, volume = {abs/2104.14155}, year = {2021}, url = {https://arxiv.org/abs/2104.14155}, eprinttype = {arXiv}, eprint = {2104.14155}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-14155.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-12858, author = {Qiaoyi Liu and Dillon Huff and Jeff Setter and Maxwell Strange and Kathleen Feng and Kavya Sreedhar and Ziheng Wang and Keyi Zhang and Mark Horowitz and Priyanka Raina and Fredrik Kjolstad}, title = {Compiling Halide Programs to Push-Memory Accelerators}, journal = {CoRR}, volume = {abs/2105.12858}, year = {2021}, url = {https://arxiv.org/abs/2105.12858}, eprinttype = {arXiv}, eprint = {2105.12858}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-12858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-05987, author = {Nestan Tsiskaridze and Maxwell Strange and Makai Mann and Kavya Sreedhar and Qiaoyi Liu and Mark Horowitz and Clark W. Barrett}, title = {Automating System Configuration}, journal = {CoRR}, volume = {abs/2108.05987}, year = {2021}, url = {https://arxiv.org/abs/2108.05987}, eprinttype = {arXiv}, eprint = {2108.05987}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-05987.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-14535, author = {Alex Carsello and James Thomas and Ankita Nayak and Po{-}Han Chen and Mark Horowitz and Priyanka Raina and Christopher Torng}, title = {Enabling Reusable Physical Design Flows with Modular Flow Generators}, journal = {CoRR}, volume = {abs/2111.14535}, year = {2021}, url = {https://arxiv.org/abs/2111.14535}, eprinttype = {arXiv}, eprint = {2111.14535}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-14535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SreedharHT21, author = {Kavya Sreedhar and Mark Horowitz and Christopher Torng}, title = {Fast Extended {GCD} Calculation for Large Integers for Verifiable Delay Functions}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1292}, year = {2021}, url = {https://eprint.iacr.org/2021/1292}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SreedharHT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/YangGLSPNBCHRKH20, author = {Xuan Yang and Mingyu Gao and Qiaoyi Liu and Jeff Setter and Jing Pu and Ankita Nayak and Steven Bell and Kaidi Cao and Heonjae Ha and Priyanka Raina and Christos Kozyrakis and Mark Horowitz}, editor = {James R. Larus and Luis Ceze and Karin Strauss}, title = {Interstellar: Using Halide's Scheduling Language to Analyze {DNN} Accelerators}, booktitle = {{ASPLOS} '20: Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, March 16-20, 2020}, pages = {369--383}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3373376.3378514}, doi = {10.1145/3373376.3378514}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/YangGLSPNBCHRKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/TruongHSMDZDSHB20, author = {Lenny Truong and Steven Herbst and Rajsekhar Setaluri and Makai Mann and Ross G. Daly and Keyi Zhang and Caleb Donovick and Daniel Stanley and Mark Horowitz and Clark W. Barrett and Pat Hanrahan}, editor = {Shuvendu K. Lahiri and Chao Wang}, title = {fault: {A} Python Embedded Domain-Specific Language for Metaprogramming Portable Hardware Verification Components}, booktitle = {Computer Aided Verification - 32nd International Conference, {CAV} 2020, Los Angeles, CA, USA, July 21-24, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12224}, pages = {403--414}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-53288-8\_19}, doi = {10.1007/978-3-030-53288-8\_19}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cav/TruongHSMDZDSHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BahrBBCDDDFFHHH20, author = {Rick Bahr and Clark W. Barrett and Nikhil Bhagdikar and Alex Carsello and Ross Daly and Caleb Donovick and David Durst and Kayvon Fatahalian and Kathleen Feng and Pat Hanrahan and Teguh Hofstee and Mark Horowitz and Dillon Huff and Fredrik Kjolstad and Taeyoung Kong and Qiaoyi Liu and Makai Mann and Jackson Melchert and Ankita Nayak and Aina Niemetz and Gedeon Nyengele and Priyanka Raina and Stephen Richardson and Rajsekhar Setaluri and Jeff Setter and Kavya Sreedhar and Maxwell Strange and James Thomas and Christopher Torng and Leonard Truong and Nestan Tsiskaridze and Keyi Zhang}, title = {Creating an Agile Hardware Design Flow}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218553}, doi = {10.1109/DAC18072.2020.9218553}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BahrBBCDDDFFHHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NayakZSCMRBHHR20, author = {Ankita Nayak and Keyi Zhang and Rajsekhar Setaluri and Alex Carsello and Makai Mann and Stephen Richardson and Rick Bahr and Pat Hanrahan and Mark Horowitz and Priyanka Raina}, title = {A Framework for Adding Low-Overhead, Fine-Grained Power Domains to CGRAs}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {846--851}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116477}, doi = {10.23919/DATE48585.2020.9116477}, timestamp = {Thu, 25 Jun 2020 12:55:44 +0200}, biburl = {https://dblp.org/rec/conf/date/NayakZSCMRBHHR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/GoenkaTPH20, author = {Sneha D. Goenka and Yatish Turakhia and Benedict Paten and Mark Horowitz}, editor = {Christine Cuicchi and Irene Qualters and William T. Kramer}, title = {SegAlign: a scalable GPU-based whole genome aligner}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2020, Virtual Event / Atlanta, Georgia, USA, November 9-19, 2020}, pages = {39}, publisher = {{IEEE/ACM}}, year = {2020}, url = {https://doi.org/10.1109/SC41405.2020.00043}, doi = {10.1109/SC41405.2020.00043}, timestamp = {Wed, 04 May 2022 13:02:27 +0200}, biburl = {https://dblp.org/rec/conf/sc/GoenkaTPH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimMHLH20, author = {Sung{-}Jin Kim and Zachary Myers and Steven Herbst and ByongChan Lim and Mark Horowitz}, title = {Open-Source Synthesizable Analog Blocks for High-Speed Link Designs: 20-GS/s 5b {ENOB} Analog-to-Digital Converter and 5-GHz Phase Interpolator}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162800}, doi = {10.1109/VLSICIRCUITS18222.2020.9162800}, timestamp = {Mon, 24 Aug 2020 16:22:01 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimMHLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-02072, author = {Steven Herbst and ByongChan Lim and Mark Horowitz}, title = {Fast {FPGA} emulation of analog dynamics in digitally-driven systems}, journal = {CoRR}, volume = {abs/2002.02072}, year = {2020}, url = {https://arxiv.org/abs/2002.02072}, eprinttype = {arXiv}, eprint = {2002.02072}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-02072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-11669, author = {Lenny Truong and Steven Herbst and Rajsekhar Setaluri and Makai Mann and Ross G. Daly and Keyi Zhang and Caleb Donovick and Daniel Stanley and Mark Horowitz and Clark W. Barrett and Pat Hanrahan}, title = {fault: {A} Python Embedded Domain-Specific Language For Metaprogramming Portable Hardware Verification Components}, journal = {CoRR}, volume = {abs/2006.11669}, year = {2020}, url = {https://arxiv.org/abs/2006.11669}, eprinttype = {arXiv}, eprint = {2006.11669}, timestamp = {Tue, 23 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-11669.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-09077, author = {Sung{-}Jin Kim and Zachary Myers and Steven Herbst and ByongChan Lim and Mark Horowitz}, title = {Open-Source Synthesizable Analog Blocks for High-Speed Link Designs: 20-GS/s 5b {ENOB} Analog-to-Digital Converter and 5-GHz Phase Interpolator}, journal = {CoRR}, volume = {abs/2009.09077}, year = {2020}, url = {https://arxiv.org/abs/2009.09077}, eprinttype = {arXiv}, eprint = {2009.09077}, timestamp = {Wed, 23 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-09077.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LimH19, author = {ByongChan Lim and Mark Horowitz}, title = {An Analog Model Template Library: Simplifying Chip-Level, Mixed-Signal Design Verification}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {1}, pages = {193--204}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2018.2873387}, doi = {10.1109/TVLSI.2018.2873387}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LimH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/GaoYPHK19, author = {Mingyu Gao and Xuan Yang and Jing Pu and Mark Horowitz and Christos Kozyrakis}, editor = {Iris Bahar and Maurice Herlihy and Emmett Witchel and Alvin R. Lebeck}, title = {{TANGRAM:} Optimized Coarse-Grained Dataflow for Scalable {NN} Accelerators}, booktitle = {Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2019, Providence, RI, USA, April 13-17, 2019}, pages = {807--820}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297858.3304014}, doi = {10.1145/3297858.3304014}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/GaoYPHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YoshiokaLWH19, author = {Kentaro Yoshioka and Edward Lee and Simon Wong and Mark Horowitz}, title = {Dataset Culling: Towards Efficient Training of Distillation-Based Domain Specific Models}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {3237--3241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8803462}, doi = {10.1109/ICIP.2019.8803462}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/YoshiokaLWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/KininghamLABHS19, author = {Kevin Kiningham and Philip Alexander Levis and Mark Anderson and Dan Boneh and Mark Horowitz and Maurice Shih}, title = {Falcon - {A} Flexible Architecture For Accelerating Cryptography}, booktitle = {16th {IEEE} International Conference on Mobile Ad Hoc and Sensor Systems, {MASS} 2019, Monterey, CA, USA, November 4-7, 2019}, pages = {136--144}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MASS.2019.00025}, doi = {10.1109/MASS.2019.00025}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mass/KininghamLABHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-00173, author = {Kentaro Yoshioka and Edward Lee and Simon Wong and Mark Horowitz}, title = {Dataset Culling: Towards Efficient Training Of Distillation-Based Domain Specific Models}, journal = {CoRR}, volume = {abs/1902.00173}, year = {2019}, url = {http://arxiv.org/abs/1902.00173}, eprinttype = {arXiv}, eprint = {1902.00173}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-00173.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-12026, author = {Michelle Reddy and J{\'{u}}lio C. Nardelli and Yuri L. Pereira and Marisa Vasconcelos and Thiago Henrique Silva and Leonardo B. Oliveira and Mark Horowitz}, title = {StartupBR: Higher Education's Influence on Social Networks and Entrepreneurship in Brazil}, journal = {CoRR}, volume = {abs/1904.12026}, year = {2019}, url = {http://arxiv.org/abs/1904.12026}, eprinttype = {arXiv}, eprint = {1904.12026}, timestamp = {Wed, 05 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-12026.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/synthesis/2018Bell, author = {Steven Bell and Jing Pu and James Hegarty and Mark Horowitz}, title = {Compiling Algorithms for Heterogeneous Systems}, series = {Synthesis Lectures on Computer Architecture}, publisher = {Morgan {\&} Claypool Publishers}, year = {2018}, url = {https://doi.org/10.2200/S00816ED1V01Y201711CAC043}, doi = {10.2200/S00816ED1V01Y201711CAC043}, isbn = {978-3-031-00055-3}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/synthesis/2018Bell.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/XiongRLH18, author = {Jing Xiong and Jing Ren and Liqun Luo and Mark Horowitz}, title = {Mapping Histological Slice Sequences to the Allen Mouse Brain Atlas Without 3D Reconstruction}, journal = {Frontiers Neuroinformatics}, volume = {12}, pages = {93}, year = {2018}, url = {https://doi.org/10.3389/fninf.2018.00093}, doi = {10.3389/FNINF.2018.00093}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/XiongRLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HerbstLH18, author = {Steven Herbst and ByongChan Lim and Mark Horowitz}, editor = {Iris Bahar}, title = {Fast {FPGA} emulation of analog dynamics in digitally-driven systems}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {131}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240808}, doi = {10.1145/3240765.3240808}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HerbstLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotdi/ChiangHKRLH18, author = {Holly Chiang and James Hong and Kevin Kiningham and Laurynas Riliskis and Philip Alexander Levis and Mark Horowitz}, title = {Tethys: Collecting Sensor Data without Infrastracture or Trust}, booktitle = {2018 {IEEE/ACM} Third International Conference on Internet-of-Things Design and Implementation, IoTDI 2018, Orlando, FL, USA, April 17-20, 2018}, pages = {249--254}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IoTDI.2018.00032}, doi = {10.1109/IOTDI.2018.00032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iotdi/ChiangHKRLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-04070, author = {Xuan Yang and Mingyu Gao and Jing Pu and Ankita Nayak and Qiaoyi Liu and Steven Bell and Jeff Setter and Kaidi Cao and Heonjae Ha and Christos Kozyrakis and Mark Horowitz}, title = {{DNN} Dataflow Choice Is Overrated}, journal = {CoRR}, volume = {abs/1809.04070}, year = {2018}, url = {http://arxiv.org/abs/1809.04070}, eprinttype = {arXiv}, eprint = {1809.04070}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-04070.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-02689, author = {Kentaro Yoshioka and Edward Lee and Mark Horowitz}, title = {Training Domain Specific Models for Energy-Efficient Object Detection}, journal = {CoRR}, volume = {abs/1811.02689}, year = {2018}, url = {http://arxiv.org/abs/1811.02689}, eprinttype = {arXiv}, eprint = {1811.02689}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-02689.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/PedramRHGK17, author = {Ardavan Pedram and Stephen Richardson and Mark Horowitz and Sameh Galal and Shahar Kvatinsky}, title = {Dark Memory and Accelerator-Rich System Optimization in the Dark Silicon Era}, journal = {{IEEE} Des. Test}, volume = {34}, number = {2}, pages = {39--50}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2573586}, doi = {10.1109/MDAT.2016.2573586}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/PedramRHGK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/PuBYSRRH17, author = {Jing Pu and Steven Bell and Xuan Yang and Jeff Setter and Stephen Richardson and Jonathan Ragan{-}Kelley and Mark Horowitz}, title = {Programming Heterogeneous Systems from an Image Processing {DSL}}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {14}, number = {3}, pages = {26:1--26:25}, year = {2017}, url = {https://doi.org/10.1145/3107953}, doi = {10.1145/3107953}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/PuBYSRRH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/RisterHR17, author = {Blaine Rister and Mark A. Horowitz and Daniel L. Rubin}, title = {Volumetric Image Registration From Invariant Keypoints}, journal = {{IEEE} Trans. Image Process.}, volume = {26}, number = {10}, pages = {4900--4910}, year = {2017}, url = {https://doi.org/10.1109/TIP.2017.2722689}, doi = {10.1109/TIP.2017.2722689}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/RisterHR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/GaoPYHK17, author = {Mingyu Gao and Jing Pu and Xuan Yang and Mark Horowitz and Christos Kozyrakis}, editor = {Yunji Chen and Olivier Temam and John Carter}, title = {{TETRIS:} Scalable and Efficient Neural Network Acceleration with 3D Memory}, booktitle = {Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2017, Xi'an, China, April 8-12, 2017}, pages = {751--764}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3037697.3037702}, doi = {10.1145/3037697.3037702}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/GaoPYHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/SongH16, author = {Kahye Song and Mark Horowitz}, title = {Tomographic Reconstruction and Alignment Using Matrix Norm Minimization}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {10}, number = {1}, pages = {47--60}, year = {2016}, url = {https://doi.org/10.1109/JSTSP.2015.2510163}, doi = {10.1109/JSTSP.2015.2510163}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/SongH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LimH16, author = {ByongChan Lim and Mark Horowitz}, title = {Error Control and Limit Cycle Elimination in Event-Driven Piecewise Linear Analog Functional Models}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {1}, pages = {23--33}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2015.2512699}, doi = {10.1109/TCSI.2015.2512699}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LimH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/HorowitzH16, author = {James Hegarty and Ross G. Daly and Zachary DeVito and Mark Horowitz and Pat Hanrahan and Jonathan Ragan{-}Kelley}, title = {Rigel: flexible multi-rate image processing hardware}, journal = {{ACM} Trans. Graph.}, volume = {35}, number = {4}, pages = {85:1--85:11}, year = {2016}, url = {https://doi.org/10.1145/2897824.2925892}, doi = {10.1145/2897824.2925892}, timestamp = {Fri, 11 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/HorowitzH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewsn/KininghamHLB16, author = {Kevin Kiningham and Mark Horowitz and Philip Alexander Levis and Dan Boneh}, editor = {Kay R{\"{o}}mer and Koen Langendoen and Thiemo Voigt}, title = {{CESEL:} Securing a Mote for 20 Years}, booktitle = {Proceedings of the International Conference on Embedded Wireless Systems and Networks, {EWSN} 2016, Graz, Austria, 15-17 February 2016}, pages = {307--312}, publisher = {Junction Publishing, Canada / {ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2893788}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ewsn/KininghamHLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HanLMPPHD16, author = {Song Han and Xingyu Liu and Huizi Mao and Jing Pu and Ardavan Pedram and Mark Horowitz and Bill Dally}, title = {Deep compression and {EIE:} Efficient inference engine on compressed deep neural network}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936226}, doi = {10.1109/HOTCHIPS.2016.7936226}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HanLMPPHD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HanLMPPHD16, author = {Song Han and Xingyu Liu and Huizi Mao and Jing Pu and Ardavan Pedram and Mark A. Horowitz and William J. Dally}, title = {{EIE:} Efficient Inference Engine on Compressed Deep Neural Network}, booktitle = {43rd {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2016, Seoul, South Korea, June 18-22, 2016}, pages = {243--254}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCA.2016.30}, doi = {10.1109/ISCA.2016.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/HanLMPPHD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HaPRKH16, author = {Heonjae Ha and Ardavan Pedram and Stephen Richardson and Shahar Kvatinsky and Mark Horowitz}, title = {Improving energy efficiency of {DRAM} by exploiting half page row access}, booktitle = {49th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2016, Taipei, Taiwan, October 15-19, 2016}, pages = {27:1--27:12}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MICRO.2016.7783730}, doi = {10.1109/MICRO.2016.7783730}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HaPRKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/VasilyevBPRKH16, author = {Artem Vasilyev and Nikhil Bhagdikar and Ardavan Pedram and Stephen Richardson and Shahar Kvatinsky and Mark Horowitz}, title = {Evaluating programmable architectures for imaging and vision applications}, booktitle = {49th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2016, Taipei, Taiwan, October 15-19, 2016}, pages = {52:1--52:13}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/MICRO.2016.7783755}, doi = {10.1109/MICRO.2016.7783755}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/VasilyevBPRKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JiPLH16, author = {Suyao Ji and Jing Pu and ByongChan Lim and Mark Horowitz}, title = {A 220pJ/pixel/frame {CMOS} image sensor with partial settling readout architecture}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573545}, doi = {10.1109/VLSIC.2016.7573545}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JiPLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HanLMPPHD16, author = {Song Han and Xingyu Liu and Huizi Mao and Jing Pu and Ardavan Pedram and Mark A. Horowitz and William J. Dally}, title = {{EIE:} Efficient Inference Engine on Compressed Deep Neural Network}, journal = {CoRR}, volume = {abs/1602.01528}, year = {2016}, url = {http://arxiv.org/abs/1602.01528}, eprinttype = {arXiv}, eprint = {1602.01528}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/HanLMPPHD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/PedramRGKH16, author = {Ardavan Pedram and Stephen Richardson and Sameh Galal and Shahar Kvatinsky and Mark Horowitz}, title = {Dark Memory and Accelerator-Rich System Optimization in the Dark Silicon Era}, journal = {CoRR}, volume = {abs/1602.04183}, year = {2016}, url = {http://arxiv.org/abs/1602.04183}, eprinttype = {arXiv}, eprint = {1602.04183}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/PedramRGKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/YangPRBRKRPH16, author = {Xuan Yang and Jing Pu and Blaine Burton Rister and Nikhil Bhagdikar and Stephen Richardson and Shahar Kvatinsky and Jonathan Ragan{-}Kelley and Ardavan Pedram and Mark Horowitz}, title = {A Systematic Approach to Blocking Convolutional Neural Networks}, journal = {CoRR}, volume = {abs/1606.04209}, year = {2016}, url = {http://arxiv.org/abs/1606.04209}, eprinttype = {arXiv}, eprint = {1606.04209}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/YangPRBRKRPH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/PuGYSH16, author = {Jing Pu and Sameh Galal and Xuan Yang and Ofer Shacham and Mark Horowitz}, title = {FPMax: a 106GFLOPS/W at 217GFLOPS/mm2 Single-Precision FPU, and a 43.7GFLOPS/W at 74.6GFLOPS/mm2 Double-Precision FPU, in 28nm {UTBB} {FDSOI}}, journal = {CoRR}, volume = {abs/1606.07852}, year = {2016}, url = {http://arxiv.org/abs/1606.07852}, eprinttype = {arXiv}, eprint = {1606.07852}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/PuGYSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/PuBYSRRH16, author = {Jing Pu and Steven Bell and Xuan Yang and Jeff Setter and Stephen Richardson and Jonathan Ragan{-}Kelley and Mark Horowitz}, title = {Programming Heterogeneous Systems from an Image Processing {DSL}}, journal = {CoRR}, volume = {abs/1610.09405}, year = {2016}, url = {http://arxiv.org/abs/1610.09405}, eprinttype = {arXiv}, eprint = {1610.09405}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/PuBYSRRH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/QadeerHSVKH15, author = {Wajahat Qadeer and Rehan Hameed and Ofer Shacham and Preethi Venkatesan and Christos Kozyrakis and Mark Horowitz}, title = {Convolution engine: balancing efficiency and flexibility in specialized computing}, journal = {Commun. {ACM}}, volume = {58}, number = {4}, pages = {85--93}, year = {2015}, url = {https://doi.org/10.1145/2735841}, doi = {10.1145/2735841}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/QadeerHSVKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LimJMKH15, author = {ByongChan Lim and Ji{-}Eun Jang and James Mao and Jaeha Kim and Mark Horowitz}, title = {Digital Analog Design: Enabling Mixed-Signal System Validation}, journal = {{IEEE} Des. Test}, volume = {32}, number = {1}, pages = {44--52}, year = {2015}, url = {https://doi.org/10.1109/MDAT.2014.2361718}, doi = {10.1109/MDAT.2014.2361718}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/LimJMKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/RichardsonMDBH15, author = {Stephen Richardson and Dejan Markovic and Andrew Danowitz and John S. Brunhaver and Mark Horowitz}, title = {Building Conflict-Free {FFT} Schedules}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {62-I}, number = {4}, pages = {1146--1155}, year = {2015}, url = {https://doi.org/10.1109/TCSI.2015.2402935}, doi = {10.1109/TCSI.2015.2402935}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/RichardsonMDBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/RisterRZVHGC15, author = {Blaine Rister and Daniel Reiter and Hejia Zhang and Daniel Volz and Mark Horowitz and Refaat E. Gabr and Joseph R. Cavallaro}, title = {Scale- and orientation-invariant keypoints in higher-dimensional data}, booktitle = {2015 {IEEE} International Conference on Image Processing, {ICIP} 2015, Quebec City, QC, Canada, September 27-30, 2015}, pages = {3490--3494}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIP.2015.7351453}, doi = {10.1109/ICIP.2015.7351453}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/RisterRZVHGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ChiangHKXRLH15, author = {Holly Chiang and James Hong and Kevin Kiningham and Jiaqi Xue and Laurynas Riliskis and Philip Alexander Levis and Mark Horowitz}, editor = {Junehwa Song and Tarek F. Abdelzaher and Cecilia Mascolo}, title = {Demo: Tethys - An Energy Harvesting Networked Water Flow Sensor}, booktitle = {Proceedings of the 13th {ACM} Conference on Embedded Networked Sensor Systems, SenSys 2015, Seoul, South Korea, November 1-4, 2015}, pages = {489--490}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2809695.2817868}, doi = {10.1145/2809695.2817868}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/ChiangHKXRLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiaoH14, author = {Sabrina Liao and Mark Horowitz}, title = {A Verilog Piecewise-Linear Analog Behavior Model for Mixed-Signal Validation}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {8}, pages = {2229--2235}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2332265}, doi = {10.1109/TCSI.2014.2332265}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiaoH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/HorowitzH14, author = {James Hegarty and John S. Brunhaver and Zachary DeVito and Jonathan Ragan{-}Kelley and Noy Cohen and Steven Bell and Artem Vasilyev and Mark Horowitz and Pat Hanrahan}, title = {Darkroom: compiling high-level image processing code into hardware pipelines}, journal = {{ACM} Trans. Graph.}, volume = {33}, number = {4}, pages = {144:1--144:11}, year = {2014}, url = {https://doi.org/10.1145/2601097.2601174}, doi = {10.1145/2601097.2601174}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/HorowitzH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Horowitz14, author = {Mark Horowitz}, title = {1.1 Computing's energy problem (and what we can do about it)}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {10--14}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757323}, doi = {10.1109/ISSCC.2014.6757323}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/Horowitz14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/GibbVHM13, author = {Glen Gibb and George Varghese and Mark Horowitz and Nick McKeown}, title = {Design principles for packet parsers}, booktitle = {Symposium on Architecture for Networking and Communications Systems, {ANCS} '13, San Jose, CA, USA, October 21-22, 2013}, pages = {13--24}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ANCS.2013.6665172}, doi = {10.1109/ANCS.2013.6665172}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ancs/GibbVHM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/GalalSBPVH13, author = {Sameh Galal and Ofer Shacham and John S. Brunhaver and Jing Pu and Artem Vassiliev and Mark Horowitz}, editor = {Alberto Nannarelli and Peter{-}Michael Seidel and Ping Tak Peter Tang}, title = {{FPU} Generator for Design Space Exploration}, booktitle = {21st {IEEE} Symposium on Computer Arithmetic, {ARITH} 2013, Austin, TX, USA, April 7-10, 2013}, pages = {25--34}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ARITH.2013.27}, doi = {10.1109/ARITH.2013.27}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/GalalSBPVH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LiaoH13, author = {Sabrina Liao and Mark Horowitz}, title = {A Verilog piecewise-linear analog behavior model for mixed-signal validation}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICC.2013.6658461}, doi = {10.1109/CICC.2013.6658461}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LiaoH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/QadeerHSVKH13, author = {Wajahat Qadeer and Rehan Hameed and Ofer Shacham and Preethi Venkatesan and Christos Kozyrakis and Mark A. Horowitz}, editor = {Avi Mendelson}, title = {Convolution engine: balancing efficiency {\&} flexibility in specialized computing}, booktitle = {The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013}, pages = {24--35}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2485922.2485925}, doi = {10.1145/2485922.2485925}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/QadeerHSVKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/BosshartGKVMIMH13, author = {Pat Bosshart and Glen Gibb and Hun{-}Seok Kim and George Varghese and Nick McKeown and Martin Izzard and Fernando A. Mujica and Mark Horowitz}, editor = {Dah Ming Chiu and Jia Wang and Paul Barford and Srinivasan Seshan}, title = {Forwarding metamorphosis: fast programmable match-action processing in hardware for {SDN}}, booktitle = {{ACM} {SIGCOMM} 2013 Conference, {SIGCOMM} 2013, Hong Kong, August 12-16, 2013}, pages = {99--110}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2486001.2486011}, doi = {10.1145/2486001.2486011}, timestamp = {Thu, 11 Mar 2021 15:20:15 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/BosshartGKVMIMH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/RichardsonSMH13, author = {Stephen Richardson and Ofer Shacham and Dejan Markovic and Mark Horowitz}, editor = {Martin Margala and Ricardo Augusto da Luz Reis and Alex Orailoglu and Luigi Carro and Lu{\'{\i}}s Miguel Silveira and H. Fatih Ugurdag}, title = {An area-efficient minimum-time {FFT} schedule using single-ported memory}, booktitle = {21st {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013}, pages = {39--44}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLSI-SoC.2013.6673242}, doi = {10.1109/VLSI-SOC.2013.6673242}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/RichardsonSMH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/DanowitzKMSH12, author = {Andrew Danowitz and Kyle Kelley and James Mao and John P. Stevenson and Mark Horowitz}, title = {{CPU} {DB:} recording microprocessor history}, journal = {Commun. {ACM}}, volume = {55}, number = {4}, pages = {55--63}, year = {2012}, url = {https://doi.org/10.1145/2133806.2133822}, doi = {10.1145/2133806.2133822}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/DanowitzKMSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/AdamsJDTPTAVLHPGBML12, author = {Andrew Adams and David E. Jacobs and Jennifer Dolson and Marius Tico and Kari Pulli and Eino{-}Ville Talvala and Boris Ajdin and Daniel A. Vaquero and Hendrik P. A. Lensch and Mark Horowitz and Sung Hee Park and Natasha Gelfand and Jongmin Baek and Wojciech Matusik and Marc Levoy}, title = {The Frankencamera: an experimental platform for computational photography}, journal = {Commun. {ACM}}, volume = {55}, number = {11}, pages = {90--98}, year = {2012}, url = {https://doi.org/10.1145/2366316.2366339}, doi = {10.1145/2366316.2366339}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/AdamsJDTPTAVLHPGBML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/WachsSAFRH12, author = {Megan Wachs and Ofer Shacham and Zain Asgar and Amin Firoozshahian and Stephen Richardson and Mark Horowitz}, title = {Bringing up a chip on the cheap}, journal = {{IEEE} Des. Test}, volume = {29}, number = {6}, pages = {57--65}, year = {2012}, url = {https://doi.org/10.1109/mdt.2011.2179849}, doi = {10.1109/MDT.2011.2179849}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/WachsSAFRH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WanLALH12, author = {Gordon Wan and Xiangli Li and Gennadiy Agranov and Marc Levoy and Mark Horowitz}, title = {{CMOS} Image Sensors With Multi-Bucket Pixels for Computational Photography}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {1031--1042}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185189}, doi = {10.1109/JSSC.2012.2185189}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WanLALH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/queue/DanowitzKMSH12, author = {Andrew Danowitz and Kyle Kelley and James Mao and John P. Stevenson and Mark Horowitz}, title = {{CPU} {DB:} Recording Microprocessor History}, journal = {{ACM} Queue}, volume = {10}, number = {4}, pages = {10}, year = {2012}, url = {https://doi.org/10.1145/2181796.2181798}, doi = {10.1145/2181796.2181798}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/queue/DanowitzKMSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/ZhuVSHPF12, author = {Qiuling Zhu and Kaushik Vaidyanathan and Ofer Shacham and Mark Horowitz and Larry T. Pileggi and Franz Franchetti}, title = {Design Automation Framework for Application-Specific Logic-in-Memory Blocks}, booktitle = {23rd {IEEE} International Conference on Application-Specific Systems, Architectures and Processors, {ASAP} 2012, Delft, The Netherlands, July 9-11, 2012}, pages = {125--132}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ASAP.2012.21}, doi = {10.1109/ASAP.2012.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/ZhuVSHPF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShachamGSWBVHDQR12, author = {Ofer Shacham and Sameh Galal and Sabarish Sankaranarayanan and Megan Wachs and John S. Brunhaver and Artem Vassiliev and Mark Horowitz and Andrew Danowitz and Wajahat Qadeer and Stephen Richardson}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Avoiding game over: bringing design to the next level}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {623--629}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228472}, doi = {10.1145/2228360.2228472}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShachamGSWBVHDQR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KelleyWSRH12, author = {Kyle Kelley and Megan Wachs and John P. Stevenson and Stephen Richardson and Mark Horowitz}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Removing overhead from high-level interfaces}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {783--789}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228502}, doi = {10.1145/2228360.2228502}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KelleyWSRH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/StevensonFSHC12, author = {John P. Stevenson and Amin Firoozshahian and Alex Solomatnikov and Mark Horowitz and David R. Cheriton}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {Sparse matrix-vector multiply on the {HICAMP} architecture}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {195--204}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304603}, doi = {10.1145/2304576.2304603}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/StevensonFSHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/MalladiNPLKH12, author = {Krishna T. Malladi and Frank A. Nothaft and Karthika Periyathambi and Benjamin C. Lee and Christos Kozyrakis and Mark Horowitz}, title = {Towards energy-proportional datacenter memory with mobile {DRAM}}, booktitle = {39th International Symposium on Computer Architecture {(ISCA} 2012), June 9-13, 2012, Portland, OR, {USA}}, pages = {37--48}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISCA.2012.6237004}, doi = {10.1109/ISCA.2012.6237004}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/MalladiNPLKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MalladiSGLLH12, author = {Krishna T. Malladi and Ian Shaeffer and Liji Gopalakrishnan and David Lo and Benjamin C. Lee and Mark Horowitz}, title = {Rethinking {DRAM} Power Modes for Energy Proportionality}, booktitle = {45th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2012, Vancouver, BC, Canada, December 1-5, 2012}, pages = {131--142}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MICRO.2012.21}, doi = {10.1109/MICRO.2012.21}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/MalladiSGLLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/PartoviYRH12, author = {Hamid Partovi and Alfred Yeung and Luca Ravezzi and Mark Horowitz}, title = {A 3-stage Pseudo Single-phase Flip-flop family}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {172--173}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243845}, doi = {10.1109/VLSIC.2012.6243845}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/PartoviYRH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/HameedQWASLRKH11, author = {Rehan Hameed and Wajahat Qadeer and Megan Wachs and Omid Azizi and Alex Solomatnikov and Benjamin C. Lee and Stephen Richardson and Christos Kozyrakis and Mark Horowitz}, title = {Understanding sources of ineffciency in general-purpose chips}, journal = {Commun. {ACM}}, volume = {54}, number = {10}, pages = {85--93}, year = {2011}, url = {https://doi.org/10.1145/2001269.2001291}, doi = {10.1145/2001269.2001291}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/HameedQWASLRKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GalalH11, author = {Sameh Galal and Mark Horowitz}, title = {Energy-Efficient Floating-Point Unit Design}, journal = {{IEEE} Trans. Computers}, volume = {60}, number = {7}, pages = {913--922}, year = {2011}, url = {https://doi.org/10.1109/TC.2010.121}, doi = {10.1109/TC.2010.121}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GalalH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/GalalH10, author = {Sameh Galal and Mark Horowitz}, editor = {Elisardo Antelo and David Hough and Paolo Ienne}, title = {Latency Sensitive {FMA} Design}, booktitle = {20th {IEEE} Symposium on Computer Arithmetic, {ARITH} 2011, T{\"{u}}bingen, Germany, 25-27 July 2011}, pages = {129--138}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ARITH.2011.26}, doi = {10.1109/ARITH.2011.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/GalalH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DensmoreHKSAWV11, author = {Douglas Densmore and Mark Horowitz and Smita Krishnaswamy and Xiling Shen and Adam P. Arkin and Erik Winfree and Chris Voigt}, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, title = {Joint {DAC/IWBDA} special session design and synthesis of biological circuits}, booktitle = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, pages = {114--115}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2024724.2024750}, doi = {10.1145/2024724.2024750}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DensmoreHKSAWV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YounKH11, author = {Sangho Youn and Jaeha Kim and Mark Horowitz}, editor = {Leon Stok and Nikil D. Dutt and Soha Hassoun}, title = {Global convergence analysis of mixed-signal systems}, booktitle = {Proceedings of the 48th Design Automation Conference, {DAC} 2011, San Diego, California, USA, June 5-10, 2011}, pages = {498--503}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2024724.2024841}, doi = {10.1145/2024724.2024841}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YounKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KelleyWDSRH11, author = {Kyle Kelley and Megan Wachs and Andrew Danowitz and P. Stevenson and Stephen Richardson and Mark Horowitz}, title = {Intermediate representations for controllers in chip generators}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1394--1399}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763225}, doi = {10.1109/DATE.2011.5763225}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KelleyWDSRH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RabaeyMHSSDIMAE11, author = {Jan M. Rabaey and Hugo De Man and Mark Horowitz and Takayasu Sakurai and Jack Sun and Dan Dobberpuhl and Kiyoo Itoh and Philippe Magarshack and Asad A. Abidi and Hermann Eul}, title = {Beyond the horizon: The next 10x reduction in power - Challenges and solutions}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {31}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746206}, doi = {10.1109/ISSCC.2011.5746206}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RabaeyMHSSDIMAE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ShachamAWRH10, author = {Ofer Shacham and Omid Azizi and Megan Wachs and Stephen Richardson and Mark Horowitz}, title = {Rethinking Digital Design: Why Design Must Change}, journal = {{IEEE} Micro}, volume = {30}, number = {6}, pages = {9--24}, year = {2010}, url = {https://doi.org/10.1109/MM.2010.81}, doi = {10.1109/MM.2010.81}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ShachamAWRH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimJH10, author = {Jaeha Kim and Kevin D. Jones and Mark A. Horowitz}, title = {Fast, Non-Monte-Carlo Estimation of Transient Performance Variation Due to Device Mismatch}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {57-I}, number = {7}, pages = {1746--1755}, year = {2010}, url = {https://doi.org/10.1109/TCSI.2009.2035418}, doi = {10.1109/TCSI.2009.2035418}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/AdamsJDTPTAVLHPGBML10, author = {Andrew Adams and David E. Jacobs and Jennifer Dolson and Marius Tico and Kari Pulli and Eino{-}Ville Talvala and Boris Ajdin and Daniel A. Vaquero and Hendrik P. A. Lensch and Mark Horowitz and Sung Hee Park and Natasha Gelfand and Jongmin Baek and Wojciech Matusik and Marc Levoy}, title = {The Frankencamera: an experimental platform for computational photography}, journal = {{ACM} Trans. Graph.}, volume = {29}, number = {4}, pages = {29:1--29:12}, year = {2010}, url = {https://doi.org/10.1145/1778765.1778766}, doi = {10.1145/1778765.1778766}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/AdamsJDTPTAVLHPGBML10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HorowitzJLLLM10, author = {Mark Horowitz and Metha Jeeradit and Frances Lau and Sabrina Liao and ByongChan Lim and James Mao}, editor = {Sachin S. Sapatnekar}, title = {Fortifying analog models with equivalence checking and coverage analysis}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {425--430}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837381}, doi = {10.1145/1837274.1837381}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HorowitzJLLLM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LimKH10, author = {ByongChan Lim and Jaeha Kim and Mark A. Horowitz}, editor = {Sachin S. Sapatnekar}, title = {An efficient test vector generation for checking analog/mixed-signal functional models}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {767--772}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837468}, doi = {10.1145/1837274.1837468}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LimKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AziziMSPH10, author = {Omid Azizi and Aqeel Mahesri and John P. Stevenson and Sanjay J. Patel and Mark Horowitz}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {An integrated framework for joint design space exploration of microarchitecture and circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {250--255}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457204}, doi = {10.1109/DATE.2010.5457204}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/AziziMSPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Horowitz10, author = {Mark Horowitz}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Why design must change: Rethinking digital design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {791}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457119}, doi = {10.1109/DATE.2010.5457119}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Horowitz10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JeeraditKH10, author = {Metha Jeeradit and Jaeha Kim and Mark Horowitz}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Intent-leveraged optimization of analog circuits via homotopy}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1614--1619}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457068}, doi = {10.1109/DATE.2010.5457068}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JeeraditKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AziziMLPH10, author = {Omid Azizi and Aqeel Mahesri and Benjamin C. Lee and Sanjay J. Patel and Mark Horowitz}, editor = {Andr{\'{e}} Seznec and Uri C. Weiser and Ronny Ronen}, title = {Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis}, booktitle = {37th International Symposium on Computer Architecture {(ISCA} 2010), June 19-23, 2010, Saint-Malo, France}, pages = {26--36}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1815961.1815967}, doi = {10.1145/1815961.1815967}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/AziziMLPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HameedQWASLRKH10, author = {Rehan Hameed and Wajahat Qadeer and Megan Wachs and Omid Azizi and Alex Solomatnikov and Benjamin C. Lee and Stephen Richardson and Christos Kozyrakis and Mark Horowitz}, editor = {Andr{\'{e}} Seznec and Uri C. Weiser and Ronny Ronen}, title = {Understanding sources of inefficiency in general-purpose chips}, booktitle = {37th International Symposium on Computer Architecture {(ISCA} 2010), June 19-23, 2010, Saint-Malo, France}, pages = {37--47}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1815961.1815968}, doi = {10.1145/1815961.1815968}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/HameedQWASLRKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joi/ChenCHHLP09, author = {Chaomei Chen and Yue Chen and Mark Horowitz and Haiyan Hou and Zeyuan Liu and Donald A. Pellegrino}, title = {Towards an explanatory and computational theory of scientific discovery}, journal = {J. Informetrics}, volume = {3}, number = {3}, pages = {191--209}, year = {2009}, url = {https://doi.org/10.1016/j.joi.2009.03.004}, doi = {10.1016/J.JOI.2009.03.004}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/joi/ChenCHHLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NezamfarAH09, author = {Bita Nezamfar and Elad Alon and Mark Horowitz}, title = {Energy-Performance Tunable Logic}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {9}, pages = {2554--2567}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2025344}, doi = {10.1109/JSSC.2009.2025344}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NezamfarAH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/AziziMPH09, author = {Omid Azizi and Aqeel Mahesri and Sanjay J. Patel and Mark Horowitz}, title = {Area-efficiency in {CMP} core design: co-optimization of microarchitecture and physical design}, journal = {{SIGARCH} Comput. Archit. News}, volume = {37}, number = {2}, pages = {56--65}, year = {2009}, url = {https://doi.org/10.1145/1577129.1577138}, doi = {10.1145/1577129.1577138}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/AziziMPH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/NezamfarH09, author = {Bita Nezamfar and Mark Horowitz}, title = {Energy-performance tunable logic}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}, pages = {183--186}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CICC.2009.5280874}, doi = {10.1109/CICC.2009.5280874}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/NezamfarH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimJLH09, author = {Jaeha Kim and Metha Jeeradit and ByongChan Lim and Mark A. Horowitz}, title = {Leveraging designer's intent: {A} path toward simpler analog {CAD} tools}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}, pages = {613--620}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CICC.2009.5280741}, doi = {10.1109/CICC.2009.5280741}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimJLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimRH09, author = {Jaeha Kim and Jihong Ren and Mark A. Horowitz}, title = {Stochastic steady-state and {AC} analyses of mixed-signal systems}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {376--381}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630011}, doi = {10.1145/1629911.1630011}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimRH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/NezamfarH09, author = {Bita Nezamfar and Mark Horowitz}, editor = {Martin Danek and Jiri Kadlec and Brent E. Nelson}, title = {In field, energy-performance tunable {FPGA} architectures}, booktitle = {19th International Conference on Field Programmable Logic and Applications, {FPL} 2009, August 31 - September 2, 2009, Prague, Czech Republic}, pages = {262--267}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/FPL.2009.5272290}, doi = {10.1109/FPL.2009.5272290}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/NezamfarH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/FiroozshahianSSARKH09, author = {Amin Firoozshahian and Alex Solomatnikov and Ofer Shacham and Zain Asgar and Stephen Richardson and Christos Kozyrakis and Mark Horowitz}, editor = {Stephen W. Keckler and Luiz Andr{\'{e}} Barroso}, title = {A memory system design framework: creating smart memories}, booktitle = {36th International Symposium on Computer Architecture {(ISCA} 2009), June 20-24, 2009, Austin, TX, {USA}}, pages = {406--417}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555754.1555805}, doi = {10.1145/1555754.1555805}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/FiroozshahianSSARKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/Horowitz09, author = {Mark Horowitz}, editor = {David H. Albonesi and Margaret Martonosi and David I. August and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {Why design must change: rethinking digital design}, booktitle = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}}, pages = {267}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1669112.1669147}, doi = {10.1145/1669112.1669147}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/Horowitz09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SolomatnikovFSAWQRH09, author = {Alex Solomatnikov and Amin Firoozshahian and Ofer Shacham and Zain Asgar and Megan Wachs and Wajahat Qadeer and Stephen Richardson and Mark Horowitz}, editor = {David H. Albonesi and Margaret Martonosi and David I. August and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {Using a configurable processor generator for computer architecture prototyping}, booktitle = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}}, pages = {358--369}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1669112.1669159}, doi = {10.1145/1669112.1669159}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/SolomatnikovFSAWQRH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0904-1439, author = {Chaomei Chen and Yue Chen and Mark Horowitz and Haiyan Hou and Zeyuan Liu and Donald A. Pellegrino}, title = {Towards an explanatory and computational theory of scientific discovery}, journal = {CoRR}, volume = {abs/0904.1439}, year = {2009}, url = {http://arxiv.org/abs/0904.1439}, eprinttype = {arXiv}, eprint = {0904.1439}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0904-1439.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HorowitzSA08, author = {Mark Horowitz and Don Stark and Elad Alon}, title = {Digital Circuit Design Trends}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {4}, pages = {757--761}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.917523}, doi = {10.1109/JSSC.2008.917523}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HorowitzSA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AmirkhanyASJGKS08, author = {Amir Amirkhany and Aliazam Abbasfar and Jafar Savoj and Metha Jeeradit and Bruno W. Garlepp and Ravi T. Kollipara and Vladimir Stojanovic and Mark Horowitz}, title = {A 24 Gb/s Software Programmable Analog Multi-Tone Transmitter}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {4}, pages = {999--1009}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.917520}, doi = {10.1109/JSSC.2008.917520}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/AmirkhanyASJGKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PalermoEH08, author = {Samuel Palermo and Azita Emami{-}Neyestanak and Mark Horowitz}, title = {A 90 nm {CMOS} 16 Gb/s Transceiver for Optical Interconnects}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {5}, pages = {1235--1246}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.920330}, doi = {10.1109/JSSC.2008.920330}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PalermoEH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AlonH08, author = {Elad Alon and Mark Horowitz}, title = {Integrated Regulation for Energy-Efficient Digital Circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {8}, pages = {1795--1807}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.925403}, doi = {10.1109/JSSC.2008.925403}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AlonH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/LeverichASFHK08, author = {Jacob Leverich and Hideho Arakida and Alex Solomatnikov and Amin Firoozshahian and Mark Horowitz and Christos Kozyrakis}, title = {Comparative evaluation of memory models for chip multiprocessors}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {5}, number = {3}, pages = {12:1--12:30}, year = {2008}, url = {https://doi.org/10.1145/1455650.1455651}, doi = {10.1145/1455650.1455651}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/LeverichASFHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/KunzH08, author = {Robert Kunz and Mark Horowitz}, editor = {Emery D. Berger and Brad Chen}, title = {The case for simple, visible cache coherency}, booktitle = {Proceedings of the 2008 {ACM} {SIGPLAN} workshop on Memory Systems Performance and Correctness: held in conjunction with the Thirteenth International Conference on Architectural Support for Programming Languages and Operating Systems {(ASPLOS} '08), Seattle, Washington, USA, March 2, 2008}, pages = {31--35}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1353522.1353532}, doi = {10.1145/1353522.1353532}, timestamp = {Sat, 30 Sep 2023 09:34:47 +0200}, biburl = {https://dblp.org/rec/conf/asplos/KunzH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/NhoHW08, author = {H. Henry Nho and Mark Horowitz and S. Simon Wong}, title = {A high-speed, low-power 3D-SRAM architecture}, booktitle = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference, {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September 21-24, 2008}, pages = {201--204}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CICC.2008.4672058}, doi = {10.1109/CICC.2008.4672058}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/NhoHW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AziziCPWH08, author = {Omid Azizi and Jamison D. Collins and Dinesh Patil and Hong Wang and Mark Horowitz}, title = {Processor Performance Modeling using Symbolic Simulation}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {127--138}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510745}, doi = {10.1109/ISPASS.2008.4510745}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AziziCPWH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ShachamWSFRH08, author = {Ofer Shacham and Megan Wachs and Alex Solomatnikov and Amin Firoozshahian and Stephen Richardson and Mark Horowitz}, title = {Verification of chip multiprocessor memory systems using a relaxed scoreboard}, booktitle = {41st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-41} 2008), November 8-12, 2008, Lake Como, Italy}, pages = {294--305}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MICRO.2008.4771799}, doi = {10.1109/MICRO.2008.4771799}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/ShachamWSFRH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PoultonPFGEDH07, author = {John Poulton and Robert Palmer and Andrew M. Fuller and Trey Greer and John G. Eyles and William J. Dally and Mark Horowitz}, title = {A 14-mW 6.25-Gb/s Transceiver in 90-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {12}, pages = {2745--2757}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.908692}, doi = {10.1109/JSSC.2007.908692}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PoultonPFGEDH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/TalvalaAHL07, author = {Eino{-}Ville Talvala and Andrew Adams and Mark Horowitz and Marc Levoy}, title = {Veiling glare in high dynamic range imaging}, journal = {{ACM} Trans. Graph.}, volume = {26}, number = {3}, pages = {37}, year = {2007}, url = {https://doi.org/10.1145/1276377.1276424}, doi = {10.1145/1276377.1276424}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/TalvalaAHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/PatilAHHA07, author = {Dinesh Patil and Omid Azizi and Mark Horowitz and Ron Ho and Rajesh Ananthraman}, title = {Robust Energy-Efficient Adder Topologies}, booktitle = {18th {IEEE} Symposium on Computer Arithmetic {(ARITH-18} 2007), 25-27 June 2007, Montpellier, France}, pages = {16--28}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ARITH.2007.31}, doi = {10.1109/ARITH.2007.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/PatilAHHA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/AlonH07, author = {Elad Alon and Mark Horowitz}, title = {Integrated Regulation for Energy-Efficient Digital Circuits}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {389--392}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405759}, doi = {10.1109/CICC.2007.4405759}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/AlonH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/AmirkhanyASH07, author = {Amir Amirkhany and Ali{-}Azam Abbasfar and Jafar Savoj and Mark A. Horowitz}, title = {Time-Variant Characterization and Compensation of Wideband Circuits}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {487--490}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405778}, doi = {10.1109/CICC.2007.4405778}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/AmirkhanyASH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SolomatnikovFQSKAWHH07, author = {Alex Solomatnikov and Amin Firoozshahian and Wajahat Qadeer and Ofer Shacham and Kyle Kelley and Zain Asgar and Megan Wachs and Rehan Hameed and Mark Horowitz}, title = {Chip Multi-Processor Generator}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {262--263}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278544}, doi = {10.1145/1278480.1278544}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SolomatnikovFQSKAWHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimJH07, author = {Jaeha Kim and Kevin D. Jones and Mark A. Horowitz}, title = {Fast, Non-Monte-Carlo Estimation of Transient Performance Variation Due to Device Mismatch}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {440--443}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278593}, doi = {10.1145/1278480.1278593}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimJH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SavojAAGH07, author = {Jafar Savoj and Ali{-}Azam Abbasfar and Amir Amirkhany and Bruno W. Garlepp and Mark A. Horowitz}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A new technique for characterization of digital-to-analog converters in high-speed systems}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {433--438}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364630}, doi = {10.1109/DATE.2007.364630}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SavojAAGH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/AmirkhanyASH07, author = {Amir Amirkhany and Ali{-}Azam Abbasfar and Vladimir Stojanovic and Mark A. Horowitz}, title = {Practical Limits of Multi-Tone Signaling Over High-Speed Backplane Electrical Links}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2007, Glasgow, Scotland, UK, 24-28 June 2007}, pages = {2693--2698}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICC.2007.447}, doi = {10.1109/ICC.2007.447}, timestamp = {Tue, 19 Jun 2018 07:53:33 +0200}, biburl = {https://dblp.org/rec/conf/icc/AmirkhanyASH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimJH07, author = {Jaeha Kim and Kevin D. Jones and Mark A. Horowitz}, editor = {Georges G. E. Gielen}, title = {Variable domain transformation for linear {PAC} analysis of mixed-signal systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {887--894}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397376}, doi = {10.1109/ICCAD.2007.4397376}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimJH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LeverichASFHK07, author = {Jacob Leverich and Hideho Arakida and Alex Solomatnikov and Amin Firoozshahian and Mark Horowitz and Christos Kozyrakis}, editor = {Dean M. Tullsen and Brad Calder}, title = {Comparing memory systems for chip multiprocessors}, booktitle = {34th International Symposium on Computer Architecture {(ISCA} 2007), June 9-13, 2007, San Diego, California, {USA}}, pages = {358--368}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1250662.1250707}, doi = {10.1145/1250662.1250707}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/LeverichASFHK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PalermoEH07, author = {Samuel Palermo and Azita Emami{-}Neyestanak and Mark Horowitz}, title = {A 90nm {CMOS} 16Gb/s Transceiver for Optical Interconnects}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {44--586}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373579}, doi = {10.1109/ISSCC.2007.373579}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PalermoEH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PalmerPDEFGHKQZ07, author = {Robert Palmer and John Poulton and William J. Dally and John G. Eyles and Andrew M. Fuller and Trey Greer and Mark Horowitz and Mark Kellam and F. Quan and F. Zarkeshvari}, title = {A 14mW 6.25Gb/s Transceiver in 90nm {CMOS} for Serial Chip-to-Chip Communications}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {440--614}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373483}, doi = {10.1109/ISSCC.2007.373483}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PalmerPDEFGHKQZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/Horowitz07, author = {Mark Horowitz}, title = {Scaling, Power and the Future of {CMOS}}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {23}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.140}, doi = {10.1109/VLSID.2007.140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/Horowitz07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NaffzigerSGJDAH06, author = {Samuel Naffziger and Blaine A. Stackhouse and Tom Grutkowski and Doug Josephson and Jayen Desai and Elad Alon and Mark Horowitz}, title = {The implementation of a 2-core, multi-threaded itanium family processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {1}, pages = {197--209}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.859894}, doi = {10.1109/JSSC.2005.859894}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NaffzigerSGJDAH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AlonKPCH06, author = {Elad Alon and Jaeha Kim and Sudhakar Pamarti and Ken Chang and Mark Horowitz}, title = {Replica compensated linear regulators for supply-regulated phase-locked loops}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {2}, pages = {413--424}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.862347}, doi = {10.1109/JSSC.2005.862347}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/AlonKPCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/LevoyNAFH06, author = {Marc Levoy and Ren Ng and Andrew Adams and Matthew Footer and Mark Horowitz}, title = {Light field microscopy}, journal = {{ACM} Trans. Graph.}, volume = {25}, number = {3}, pages = {924--934}, year = {2006}, url = {https://doi.org/10.1145/1141911.1141976}, doi = {10.1145/1141911.1141976}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/LevoyNAFH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/AmirkhanyASH06, author = {Amir Amirkhany and Ali{-}Azam Abbasfar and Vladimir Stojanovic and Mark A. Horowitz}, title = {Analog Multi-Tone Signaling for High-Speed Backplane Electrical Links}, booktitle = {Proceedings of the Global Telecommunications Conference, 2006. {GLOBECOM} '06, San Francisco, CA, USA, 27 November - 1 December 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GLOCOM.2006.548}, doi = {10.1109/GLOCOM.2006.548}, timestamp = {Fri, 21 Feb 2020 18:38:36 +0100}, biburl = {https://dblp.org/rec/conf/globecom/AmirkhanyASH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeBFZSH06, author = {Haechang Lee and Akash Bansal and Yohan Frans and Jared Zerbe and Stefanos Sidiropoulos and Mark Horowitz}, title = {Improving {CDR} Performance via Estimation}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {1296--1303}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696177}, doi = {10.1109/ISSCC.2006.1696177}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeBFZSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/BoydKPH05, author = {Stephen P. Boyd and Seung{-}Jean Kim and Dinesh Patil and Mark Horowitz}, title = {Digital Circuit Optimization via Geometric Programming}, journal = {Oper. Res.}, volume = {53}, number = {6}, pages = {899--932}, year = {2005}, url = {https://doi.org/10.1287/opre.1050.0254}, doi = {10.1287/OPRE.1050.0254}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/BoydKPH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MaiHALKPH05, author = {Ken Mai and Ron Ho and Elad Alon and Dean Liu and Younggon Kim and Dinesh Patil and Mark A. Horowitz}, title = {Architecture and circuit techniques for a 1.1-GHz 16-kb reconfigurable memory in 0.18-{\(\mu\)}m {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {1}, pages = {261--275}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.837992}, doi = {10.1109/JSSC.2004.837992}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MaiHALKPH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AlonSH05, author = {Elad Alon and Vladimir Stojanovic and Mark A. Horowitz}, title = {Circuits and techniques for high-resolution measurement of on-chip power supply noise}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {4}, pages = {820--828}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.842853}, doi = {10.1109/JSSC.2004.842853}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/AlonSH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiangDLSOH05, author = {Patrick Chiang and William J. Dally and Ming{-}Ju Edward Lee and Ramesh Senthinathan and Yangjin Oh and Mark A. Horowitz}, title = {A 20-Gb/s 0.13-{\(\mu\)}m {CMOS} serial link transmitter using an {LC-PLL} to directly drive the output multiplexer}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {4}, pages = {1004--1011}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.842841}, doi = {10.1109/JSSC.2004.842841}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChiangDLSOH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/StojanovicHGCWT05, author = {Vladimir Stojanovic and Andrew Ho and Bruno W. Garlepp and Fred Chen and Jason Wei and Grace Tsang and Elad Alon and Ravi T. Kollipara and Carl W. Werner and Jared L. Zerbe and Mark A. Horowitz}, title = {Autonomous dual-mode {(PAM2/4)} serial link transceiver with adaptive equalization and data recovery}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {4}, pages = {1012--1026}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.842863}, doi = {10.1109/JSSC.2004.842863}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/StojanovicHGCWT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/Al-RawiCH05, author = {Ghazi Al{-}Rawi and John M. Cioffi and Mark Horowitz}, title = {On task mapping optimization for parallel decoding of low-density parity-check codes on message-passing architectures}, journal = {Parallel Comput.}, volume = {31}, number = {5}, pages = {462--490}, year = {2005}, url = {https://doi.org/10.1016/j.parco.2004.12.009}, doi = {10.1016/J.PARCO.2004.12.009}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/Al-RawiCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TsengH05, author = {Ken Tseng and Mark Horowitz}, title = {False coupling exploration in timing analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {11}, pages = {1795--1805}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2005.852435}, doi = {10.1109/TCAD.2005.852435}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TsengH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/SenCGMHLL05, author = {Pradeep Sen and Billy Chen and Gaurav Garg and Stephen R. Marschner and Mark Horowitz and Marc Levoy and Hendrik P. A. Lensch}, title = {Dual photography}, journal = {{ACM} Trans. Graph.}, volume = {24}, number = {3}, pages = {745--755}, year = {2005}, url = {https://doi.org/10.1145/1073204.1073257}, doi = {10.1145/1073204.1073257}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/SenCGMHLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/WilburnJVTABAHL05, author = {Bennett Wilburn and Neel Joshi and Vaibhav Vaish and Eino{-}Ville Talvala and Emilio R. Ant{\'{u}}nez and Adam Barth and Andrew Adams and Mark Horowitz and Marc Levoy}, title = {High performance imaging using large camera arrays}, journal = {{ACM} Trans. Graph.}, volume = {24}, number = {3}, pages = {765--776}, year = {2005}, url = {https://doi.org/10.1145/1073204.1073259}, doi = {10.1145/1073204.1073259}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/WilburnJVTABAHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VaishGTAWHL05, author = {Vaibhav Vaish and Gaurav Garg and Eino{-}Ville Talvala and Emilio R. Ant{\'{u}}nez and Bennett Wilburn and Mark Horowitz and Marc Levoy}, title = {Synthetic Aperture Focusing using a Shear-Warp Factorization of the Viewing Transform}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2005, San Diego, CA, USA, 21-23 September, 2005}, pages = {129}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CVPR.2005.537}, doi = {10.1109/CVPR.2005.537}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/VaishGTAWHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/AbramzonANH05, author = {Valentin A. Abramzon and Elad Alon and Bita Nezamfar and Mark Horowitz}, editor = {Laurent Fesquet and Andreas Kaiser and Sorin Cristoloveanu and Michel Brillou{\"{e}}t}, title = {Scalable circuits for supply noise measurement}, booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005}, pages = {463--466}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ESSCIR.2005.1541660}, doi = {10.1109/ESSCIR.2005.1541660}, timestamp = {Fri, 28 Apr 2023 15:39:25 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/AbramzonANH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/PatilYKCHB05, author = {Dinesh Patil and Sunghee Yun and Seung{-}Jean Kim and Alvin Cheung and Mark Horowitz and Stephen P. Boyd}, title = {A New Method for Design of Robust Digital Circuits}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {676--681}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.11}, doi = {10.1109/ISQED.2005.11}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/PatilYKCHB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MarkovicSNHB04, author = {Dejan Markovic and Vladimir Stojanovic and Borivoje Nikolic and Mark A. Horowitz and Robert W. Brodersen}, title = {Methods for true energy-performance optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {8}, pages = {1282--1293}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2004.831796}, doi = {10.1109/JSSC.2004.831796}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MarkovicSNHB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/LevoyCVHMB04, author = {Marc Levoy and Billy Chen and Vaibhav Vaish and Mark Horowitz and Ian McDowall and Mark T. Bolas}, title = {Synthetic aperture confocal imaging}, journal = {{ACM} Trans. Graph.}, volume = {23}, number = {3}, pages = {825--834}, year = {2004}, url = {https://doi.org/10.1145/1015706.1015806}, doi = {10.1145/1015706.1015806}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/LevoyCVHMB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LabonteMTBKH04, author = {Francois Labonte and Peter R. Mattson and William Thies and Ian Buck and Christos Kozyrakis and Mark Horowitz}, title = {The Stream Virtual Machine}, booktitle = {13th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2004), 29 September - 3 October 2004, Antibes Juan-les-Pins, France}, pages = {267--277}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/PACT.2004.10008}, doi = {10.1109/PACT.2004.10008}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/LabonteMTBKH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WilburnJVLH04, author = {Bennett Wilburn and Neel Joshi and Vaibhav Vaish and Marc Levoy and Mark Horowitz}, title = {High-Speed Videography Using a Dense Camera Array}, booktitle = {2004 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2004), with CD-ROM, 27 June - 2 July 2004, Washington, DC, {USA}}, pages = {294--301}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/CVPR.2004.124}, doi = {10.1109/CVPR.2004.124}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/WilburnJVLH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/AlonSKBH04, author = {Elad Alon and Vladimir Stojanovic and Joseph M. Kahn and Stephen P. Boyd and Mark Horowitz}, title = {Equalization of modal dispersion in multimode fiber using spatial light modulators}, booktitle = {Proceedings of the Global Telecommunications Conference, 2004. {GLOBECOM} '04, Dallas, Texas, USA, 29 November - 3 December 2004}, pages = {1023--1029}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/GLOCOM.2004.1378113}, doi = {10.1109/GLOCOM.2004.1378113}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/AlonSKBH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/AmirkhanySH04, author = {Amir Amirkhany and Vladimir Stojanovic and Mark A. Horowitz}, title = {Multi-tone signaling for high-speed backplane electrical links}, booktitle = {Proceedings of the Global Telecommunications Conference, 2004. {GLOBECOM} '04, Dallas, Texas, USA, 29 November - 3 December 2004}, pages = {1111--1117}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/GLOCOM.2004.1378130}, doi = {10.1109/GLOCOM.2004.1378130}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/AmirkhanySH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/StojanovicAH04, author = {Vladimir Stojanovic and Amir Amirkhany and Mark A. Horowitz}, title = {Optimal linear precoding with theoretical and practical data rates in high-speed serial-link backplane communication}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2004, Paris, France, 20-24 June 2004}, pages = {2799--2806}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICC.2004.1313040}, doi = {10.1109/ICC.2004.1313040}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/StojanovicAH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangWHLDHLS03, author = {Kun{-}Yung Ken Chang and Jason Wei and Charlie Huang and Simon Li and Kevin S. Donnelly and Mark Horowitz and Yingxuan Li and Stefanos Sidiropoulos}, title = {A 0.4-4-Gb/s {CMOS} quad transceiver cell using on-chip regulated dual-loop PLLs}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {5}, pages = {747--754}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.810045}, doi = {10.1109/JSSC.2003.810045}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangWHLDHLS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OMahonyYHW03, author = {Frank O'Mahony and C. Patrick Yue and Mark A. Horowitz and S. Simon Wong}, title = {A 10-GHz global clock distribution using coupled standing-wave oscillators}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {11}, pages = {1813--1820}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.818299}, doi = {10.1109/JSSC.2003.818299}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OMahonyYHW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZerbeWSCWTKSHTK03, author = {Jared L. Zerbe and Carl W. Werner and Vladimir Stojanovic and Fred Chen and Jason Wei and Grace Tsang and Dennis Kim and William F. Stonecypher and Andrew Ho and Timothy P. Thrush and Ravi T. Kollipara and Mark A. Horowitz and Kevin S. Donnelly}, title = {Equalization and clock recovery for a 2.5-10-Gb/s 2-PAM/4-PAM backplane transceiver cell}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {12}, pages = {2121--2130}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.818572}, doi = {10.1109/JSSC.2003.818572}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZerbeWSCWTKSHTK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimHW03, author = {Jaeha Kim and Mark A. Horowitz and Gu{-}Yeon Wei}, title = {Design of {CMOS} adaptive-bandwidth PLL/DLLs: a general approach}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {50}, number = {11}, pages = {860--869}, year = {2003}, url = {https://doi.org/10.1109/TCSII.2003.819120}, doi = {10.1109/TCSII.2003.819120}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KimHW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/StojanovicH03, author = {Vladimir Stojanovic and Mark Horowitz}, title = {Modeling and analysis of high-speed links}, booktitle = {Proceedings of the {IEEE} Custom Integrated Circuits Conference, {CICC} 2003, San Jose, CA, USA, September 21 - 24, 2003}, pages = {589--594}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CICC.2003.1249467}, doi = {10.1109/CICC.2003.1249467}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/StojanovicH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RabaeySBBFHNSY03, author = {Jan M. Rabaey and Dennis Sylvester and David T. Blaauw and Kerry Bernstein and Jerry Frenkil and Mark Horowitz and Wolfgang Nebel and Takayasu Sakurai and Andrew Yang}, title = {Reshaping {EDA} for power}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {15}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775838}, doi = {10.1145/775832.775838}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RabaeySBBFHNSY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OMahonyYHW03, author = {Frank O'Mahony and C. Patrick Yue and Mark Horowitz and S. Simon Wong}, title = {Design of a 10GHz clock distribution network using coupled standing-wave oscillators}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {682--687}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776005}, doi = {10.1145/775832.776005}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OMahonyYHW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuSH03, author = {Dean Liu and Stefanos Sidiropoulos and Mark Horowitz}, title = {A Framework for Designing Reusable Analog Circuits}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {375--381}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.org/10.1109/ICCAD.2003.1257805}, doi = {10.1109/ICCAD.2003.1257805}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuSH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Horowitz03, author = {Mark Horowitz}, title = {High-Speed Link Design, Then and Now}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCD.2003.10002}, doi = {10.1109/ICCD.2003.10002}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Horowitz03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/KeslassyCYMHSM03, author = {Isaac Keslassy and Shang{-}Tse Chuang and Kyoungsik Yu and David A. B. Miller and Mark Horowitz and Olav Solgaard and Nick McKeown}, editor = {Anja Feldmann and Martina Zitterbart and Jon Crowcroft and David Wetherall}, title = {Scaling internet routers using optics}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2003 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communication, August 25-29, 2003, Karlsruhe, Germany}, pages = {189--200}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/863955.863978}, doi = {10.1145/863955.863978}, timestamp = {Sat, 30 Sep 2023 09:56:14 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/KeslassyCYMHSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/LieTH03, author = {David Lie and Chandramohan A. Thekkath and Mark Horowitz}, editor = {Michael L. Scott and Larry L. Peterson}, title = {Implementing an untrusted operating system on trusted hardware}, booktitle = {Proceedings of the 19th {ACM} Symposium on Operating Systems Principles 2003, {SOSP} 2003, Bolton Landing, NY, USA, October 19-22, 2003}, pages = {178--192}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/945445.945463}, doi = {10.1145/945445.945463}, timestamp = {Tue, 06 Nov 2018 16:59:32 +0100}, biburl = {https://dblp.org/rec/conf/sosp/LieTH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sp/LieMTH03, author = {David Lie and John C. Mitchell and Chandramohan A. Thekkath and Mark Horowitz}, title = {Specifying and Verifying Hardware for Tamper-Resistant Software}, booktitle = {2003 {IEEE} Symposium on Security and Privacy (S{\&}P 2003), 11-14 May 2003, Berkeley, CA, {USA}}, pages = {166}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/SECPRI.2003.1199335}, doi = {10.1109/SECPRI.2003.1199335}, timestamp = {Thu, 21 Sep 2023 15:57:30 +0200}, biburl = {https://dblp.org/rec/conf/sp/LieMTH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimH02, author = {Jaeha Kim and Mark A. Horowitz}, title = {An efficient digital sliding controller for adaptive power-supply regulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {5}, pages = {639--647}, year = {2002}, url = {https://doi.org/10.1109/4.997858}, doi = {10.1109/4.997858}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KlevelandQMFDHW02, author = {Bendik Kleveland and Xiaoning Qi and Liam Madden and Takeshi Furusawa and Robert W. Dutton and Mark A. Horowitz and S. Simon Wong}, title = {High-frequency characterization of on-chip digital interconnects}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {6}, pages = {716--725}, year = {2002}, url = {https://doi.org/10.1109/JSSC.2002.1004576}, doi = {10.1109/JSSC.2002.1004576}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KlevelandQMFDHW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimH02a, author = {Jacha Kim and Mark A. Horowitz}, title = {Adaptive supply serial links with sub-1-V operation and per-pin clock recovery}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {11}, pages = {1403--1413}, year = {2002}, url = {https://doi.org/10.1109/JSSC.2002.803937}, doi = {10.1109/JSSC.2002.803937}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimH02a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/StojanovicGH02, author = {Vladimir Marko Stojanovic and Georgios Ginis and Mark A. Horowitz}, title = {Transmit pre-emphasis for high-speed time-division-multiplexed serial-link transceiver}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2002, April 28 - May 2, 2002, New York City, NY, {USA}}, pages = {1934--1939}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICC.2002.997185}, doi = {10.1109/ICC.2002.997185}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/StojanovicGH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BrodersenHMNS02, author = {Robert W. Brodersen and Mark Horowitz and Dejan Markovic and Borivoje Nikolic and Vladimir Stojanovic}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Methods for true power minimization}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {35--42}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774578}, doi = {10.1145/774572.774578}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BrodersenHMNS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AmruturH01, author = {Bharadwaj S. Amrutur and Mark A. Horowitz}, title = {Fast low-power decoders for RAMs}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {10}, pages = {1506--1515}, year = {2001}, url = {https://doi.org/10.1109/4.953479}, doi = {10.1109/4.953479}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AmruturH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YangSMHE01, author = {Chih{-}Kong Ken Yang and Vladimir Stojanovic and Siamak Modjtahedi and Mark A. Horowitz and William F. Ellersick}, title = {A serial-link transceiver based on 8-GSamples/s {A/D} and {D/A} converters in 0.25-{\(\mu\)}m {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {11}, pages = {1684--1692}, year = {2001}, url = {https://doi.org/10.1109/4.962288}, doi = {10.1109/4.962288}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YangSMHE01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/HoMH01, author = {Ron Ho and Kenneth Mai and Mark A. Horowitz}, title = {The future of wires}, journal = {Proc. {IEEE}}, volume = {89}, number = {4}, pages = {490--504}, year = {2001}, url = {https://doi.org/10.1109/5.920580}, doi = {10.1109/5.920580}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/HoMH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SolomonH01, author = {Jeff Solomon and Mark Horowitz}, title = {Using Texture Mapping with Mipmapping to Render a {VLSI} Layout}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {500--505}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379012}, doi = {10.1145/378239.379012}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SolomonH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/Al-RawiCMH01, author = {Ghazi Al{-}Rawi and John M. Cioffi and Rajeev Motwani and Mark Horowitz}, title = {Optimizing iterative decoding of low-density parity check codes on programmable pipelined parallel architectures}, booktitle = {Proceedings of the Global Telecommunications Conference, 2001. {GLOBECOM} '01, San Antonio, TX, USA, 25-29 November, 2001}, pages = {3012--3018}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/GLOCOM.2001.965980}, doi = {10.1109/GLOCOM.2001.965980}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/Al-RawiCMH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JohanssonH01, author = {Henrik O. Johansson and Mark Horowitz}, title = {Sampling-rate optimization of an interleaved-sampling front-end}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {573--576}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.921135}, doi = {10.1109/ISCAS.2001.921135}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JohanssonH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcc/Al-RawiCH01, author = {Ghazi Al{-}Rawi and John M. Cioffi and Mark Horowitz}, title = {Optimizing the Mapping of Low-Density Parity Check Codes on Parallel Decoding Architectures}, booktitle = {2001 International Symposium on Information Technology {(ITCC} 2001), 2-4 April 2001, Las Vegas, NV, {USA}}, pages = {578}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ITCC.2001.918859}, doi = {10.1109/ITCC.2001.918859}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcc/Al-RawiCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AmruturH00, author = {Bharadwaj S. Amrutur and Mark A. Horowitz}, title = {Speed and power scaling of SRAM's}, journal = {{IEEE} J. Solid State Circuits}, volume = {35}, number = {2}, pages = {175--185}, year = {2000}, url = {https://doi.org/10.1109/4.823443}, doi = {10.1109/4.823443}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AmruturH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Farjad-RadYHL00, author = {Ramin Farjad{-}Rad and Chih{-}Kong Ken Yang and Mark A. Horowitz and Thomas H. Lee}, title = {A 0.3-{\(\mu\)}m {CMOS} 8-Gb/s 4-PAM serial link transceiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {35}, number = {5}, pages = {757--764}, year = {2000}, url = {https://doi.org/10.1109/4.841504}, doi = {10.1109/4.841504}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Farjad-RadYHL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WeiKLSH00, author = {Gu{-}Yeon Wei and Jaeha Kim and Dean Liu and Stefanos Sidiropoulos and Mark A. Horowitz}, title = {A variable-frequency parallel {I/O} interface with adaptive power-supply regulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {35}, number = {11}, pages = {1600--1610}, year = {2000}, url = {https://doi.org/10.1109/4.881205}, doi = {10.1109/4.881205}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WeiKLSH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YeungH00, author = {Evelina Yeung and Mark A. Horowitz}, title = {A 2.4 Gb/s/pin simultaneous bidirectional parallel link with per-pin skew compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {35}, number = {11}, pages = {1619--1628}, year = {2000}, url = {https://doi.org/10.1109/4.881207}, doi = {10.1109/4.881207}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YeungH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/LieTMLBMH00, author = {David Lie and Chandramohan A. Thekkath and Mark Mitchell and Patrick Lincoln and Dan Boneh and John C. Mitchell and Mark Horowitz}, editor = {Larry Rudolph and Anoop Gupta}, title = {Architectural Support for Copy and Tamper Resistant Software}, booktitle = {{ASPLOS-IX} Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, MA, USA, November 12-15, 2000}, pages = {168--177}, publisher = {{ACM} Press}, year = {2000}, url = {https://doi.org/10.1145/378993.379237}, doi = {10.1145/378993.379237}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/LieTMLBMH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RutenbarHHC00, author = {Rob A. Rutenbar and Cheming Hu and Mark Horowitz and Stephen Y. Chow}, editor = {Giovanni De Micheli}, title = {Life at the end of {CMOS} scaling (and beyond) (panel session) (abstract only)}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {85}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337320}, doi = {10.1145/337292.337320}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RutenbarHHC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ims/OgawaH00, author = {Junji Ogawa and Mark Horowitz}, editor = {Frederic T. Chong and Christoforos E. Kozyrakis and Mark Oskin}, title = {A 64Mbit Mesochronous Hybrid Wave Pipelined Multibank {DRAM} Macro}, booktitle = {Intelligent Memory Systems, Second International Workshop, {IMS} 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2107}, pages = {1--14}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44570-6\_1}, doi = {10.1007/3-540-44570-6\_1}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/ims/OgawaH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/MaiPJHDH00, author = {Ken Mai and Tim Paaske and Nuwan Jayasena and Ron Ho and William J. Dally and Mark Horowitz}, editor = {Alan D. Berenbaum and Joel S. Emer}, title = {Smart Memories: a modular reconfigurable architecture}, booktitle = {27th International Symposium on Computer Architecture {(ISCA} 2000), June 10-14, 2000, Vancouver, BC, Canada}, pages = {161--171}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISCA.2000.854387}, doi = {10.1109/ISCA.2000.854387}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/MaiPJHDH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WeiH99, author = {Gu{-}Yeon Wei and Mark Horowitz}, title = {A fully digital, energy-efficient, adaptive power-supply regulator}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {4}, pages = {520--528}, year = {1999}, url = {https://doi.org/10.1109/4.753685}, doi = {10.1109/4.753685}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WeiH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/Farjad-RadYHL99, author = {Ramin Farjad{-}Rad and Chih{-}Kong Ken Yang and Mark A. Horowitz and Thomas H. Lee}, title = {A 0.4-{\(\mu\)}m {CMOS} 10-Gb/s 4-PAM pre-emphasis serial link transmitter}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {5}, pages = {580--585}, year = {1999}, url = {https://doi.org/10.1109/4.760366}, doi = {10.1109/4.760366}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/Farjad-RadYHL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GarleppDKCZHTPS99, author = {Bruno W. Garlepp and Kevin S. Donnelly and Jun Kim and Pak Shing Chau and Jared L. Zerbe and Charlie Huang and Chanh Tran and Clemenz L. Portmann and Donald Stark and Yiu{-}Fai Chan and Thomas H. Lee and Mark A. Horowitz}, title = {A portable digital {DLL} for high-speed {CMOS} interface circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {5}, pages = {632--644}, year = {1999}, url = {https://doi.org/10.1109/4.760373}, doi = {10.1109/4.760373}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GarleppDKCZHTPS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HarrisHL99, author = {David L. Harris and Mark Horowitz and Dean Liu}, title = {Timing analysis including clock skew}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {11}, pages = {1608--1618}, year = {1999}, url = {https://doi.org/10.1109/43.806806}, doi = {10.1109/43.806806}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HarrisHL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BergmannH99, author = {Jules P. Bergmann and Mark Horowitz}, editor = {Mary Jane Irwin}, title = {Vex - {A} {CAD} Toolbox}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {523--528}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309991}, doi = {10.1145/309847.309991}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BergmannH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KapadiaH99, author = {Hema Kapadia and Mark Horowitz}, editor = {Mary Jane Irwin}, title = {Using Partitioning to Help Convergence in the Standard-Cell Design Automation Methodology}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {592--597}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.310005}, doi = {10.1145/309847.310005}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KapadiaH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HoMKH99, author = {Ron Ho and Ken Mai and Hema Kapadia and Mark Horowitz}, editor = {Jacob K. White and Ellen Sentovich}, title = {Interconnect scaling implications for {CAD}}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {425--429}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810688}, doi = {10.1109/ICCAD.1999.810688}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HoMKH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BergmannH99, author = {Jules P. Bergmann and Mark Horowitz}, editor = {Jacob K. White and Ellen Sentovich}, title = {Improving coverage analysis and test generation for large designs}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {580--583}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810714}, doi = {10.1109/ICCAD.1999.810714}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BergmannH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YangFH98, author = {Chih{-}Kong Ken Yang and Ramin Farjad{-}Rad and Mark A. Horowitz}, title = {A 0.5-{\(\mu\)}m {CMOS} 4.0-Gbit/s serial link transceiver with data recovery using oversampling}, journal = {{IEEE} J. Solid State Circuits}, volume = {33}, number = {5}, pages = {713--722}, year = {1998}, url = {https://doi.org/10.1109/4.668986}, doi = {10.1109/4.668986}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YangFH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AmruturH98, author = {Bharadwaj S. Amrutur and Mark A. Horowitz}, title = {A replica technique for wordline and sense control in low-power SRAM's}, journal = {{IEEE} J. Solid State Circuits}, volume = {33}, number = {8}, pages = {1208--1219}, year = {1998}, url = {https://doi.org/10.1109/4.705359}, doi = {10.1109/4.705359}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AmruturH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MaiMAHWHFIM98, author = {Kenneth W. Mai and Toshihiko Mori and Bharadwaj S. Amrutur and Ron Ho and Bennett Wilburn and Mark A. Horowitz and Isao Fukushi and Tetsuo Izawa and Shin Mitara}, title = {Low-power {SRAM} design using half-swing pulse-mode techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {33}, number = {11}, pages = {1659--1671}, year = {1998}, url = {https://doi.org/10.1109/4.726555}, doi = {10.1109/4.726555}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MaiMAHWHFIM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShahaniSMSRHXYE98, author = {Arvin Shahani and Derek K. Shaeffer and Sunderarajan S. Mohan and Hirad Samavati and Hamid R. Rategh and Maria del Mar Hershenson and Min Xu and C. Patrick Yue and Daniel J. Eddleman and Mark A. Horowitz and Thomas H. Lee}, title = {Low-power dividerless frequency synthesis using aperture phase detection}, journal = {{IEEE} J. Solid State Circuits}, volume = {33}, number = {12}, pages = {2232--2239}, year = {1998}, url = {https://doi.org/10.1109/4.735707}, doi = {10.1109/4.735707}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShahaniSMSRHXYE98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HorowitzYS98, author = {Mark Horowitz and Chih{-}Kong Ken Yang and Stefanos Sidiropoulos}, title = {High-speed electrical signaling: overview and limitations}, journal = {{IEEE} Micro}, volume = {18}, number = {1}, pages = {12--24}, year = {1998}, url = {https://doi.org/10.1109/40.653013}, doi = {10.1109/40.653013}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/HorowitzYS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/HorowitzMMS98, author = {Mark Horowitz and Margaret Martonosi and Todd C. Mowry and Michael D. Smith}, title = {Informing Memory Operations: Memory Performance Feedback Mechanisms and Their Applications}, journal = {{ACM} Trans. Comput. Syst.}, volume = {16}, number = {2}, pages = {170--205}, year = {1998}, url = {https://doi.org/10.1145/279227.279230}, doi = {10.1145/279227.279230}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/HorowitzMMS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GovindarajuDHH98, author = {Shankar G. Govindaraju and David L. Dill and Alan J. Hu and Mark Horowitz}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Approximate Reachability with BDDs Using Overlapping Projections}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {451--456}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277169}, doi = {10.1145/277044.277169}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GovindarajuDHH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AgarwalSHH98, author = {Anant Agarwal and Richard Simoni and John L. Hennessy and Mark Horowitz}, editor = {Gurindar S. Sohi}, title = {An Evaluation of Directory Schemes for Cache Coherence}, booktitle = {25 Years of the International Symposia on Computer Architecture (Selected Papers)}, pages = {353--362}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/285930.285995}, doi = {10.1145/285930.285995}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/AgarwalSHH98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KuskinOHHS98, author = {Jeffrey Kuskin and David Ofelt and Mark A. Heinrich and John Heinlein and Richard Simoni and Kourosh Gharachorloo and John Chapin and David Nakahira and Joel Baxter and Mark Horowitz and Anoop Gupta and Mendel Rosenblum and John L. Hennessy}, editor = {Gurindar S. Sohi}, title = {The Stanford {FLASH} Multiprocessor}, booktitle = {25 Years of the International Symposia on Computer Architecture (Selected Papers)}, pages = {485--496}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/285930.286007}, doi = {10.1145/285930.286007}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/KuskinOHHS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/cs-NI-9810006, author = {Nick McKeown and Martin Izzard and Adisak Mekkittikul and Bill Ellersick and Mark Horowitz}, title = {The Tiny Tera: {A} Packet Switch Core}, journal = {CoRR}, volume = {cs.NI/9810006}, year = {1998}, url = {https://arxiv.org/abs/cs/9810006}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/cs-NI-9810006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SidiropoulosH97, author = {Stefanos Sidiropoulos and Mark Horowitz}, title = {A 700-Mb/s/pin {CMOS} signaling interface using current integrating receivers}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {5}, pages = {681--690}, year = {1997}, url = {https://doi.org/10.1109/4.568834}, doi = {10.1109/4.568834}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SidiropoulosH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GonzalezGH97, author = {Ricardo Gonzalez and Benjamin M. Gordon and Mark A. Horowitz}, title = {Supply and threshold voltage scaling for low power {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {8}, pages = {1210--1216}, year = {1997}, url = {https://doi.org/10.1109/4.604077}, doi = {10.1109/4.604077}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GonzalezGH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OtsukaH97, author = {Nobuaki Otsuka and Mark A. Horowitz}, title = {Circuit techniques for 1.5-V power supply flash memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {8}, pages = {1217--1230}, year = {1997}, url = {https://doi.org/10.1109/4.604078}, doi = {10.1109/4.604078}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OtsukaH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SidiropoulosH97a, author = {Stefanos Sidiropoulos and Mark A. Horowitz}, title = {A semidigital dual delay-locked loop}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {11}, pages = {1683--1692}, year = {1997}, url = {https://doi.org/10.1109/4.641688}, doi = {10.1109/4.641688}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SidiropoulosH97a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HarrisH97, author = {David L. Harris and Mark A. Horowitz}, title = {Skew-tolerant domino circuits}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {11}, pages = {1702--1711}, year = {1997}, url = {https://doi.org/10.1109/4.641690}, doi = {10.1109/4.641690}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HarrisH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/McKeownIMEH97, author = {Nick McKeown and Martin Izzard and Adisak Mekkittikul and William Ellersick and Mark Horowitz}, title = {Tiny Tera: a packet switch core}, journal = {{IEEE} Micro}, volume = {17}, number = {1}, pages = {26--33}, year = {1997}, url = {https://doi.org/10.1109/40.566194}, doi = {10.1109/40.566194}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/McKeownIMEH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/HeinrichOHH97, author = {Mark A. Heinrich and David Ofelt and Mark A. Horowitz and John L. Hennessy}, title = {Hardware/software co-design of the Stanford {FLASH} multiprocessor}, journal = {Proc. {IEEE}}, volume = {85}, number = {3}, pages = {455--466}, year = {1997}, url = {https://doi.org/10.1109/5.558720}, doi = {10.1109/5.558720}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/HeinrichOHH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/HarrisOH97, author = {David L. Harris and Stuart F. Oberman and Mark Horowitz}, title = {{SRT} Division Architectures and Implementations}, booktitle = {13th Symposium on Computer Arithmetic {(ARITH-13} '97), 6-9 July 1997, Asilomar, CA, {USA}}, pages = {18--25}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ARITH.1997.614875}, doi = {10.1109/ARITH.1997.614875}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/HarrisOH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/TeodosiuBGCRH97, author = {Dan Teodosiu and Joel Baxter and Kinshuk Govil and John Chapin and Mendel Rosenblum and Mark Horowitz}, editor = {Andrew R. Pleszkun and Trevor N. Mudge}, title = {Hardware Fault Containment in Scalable Shared-Memory Multiprocessors}, booktitle = {Proceedings of the 24th International Symposium on Computer Architecture, Denver, Colorado, USA, June 2-4, 1997}, pages = {73--84}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/264107.264141}, doi = {10.1145/264107.264141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/TeodosiuBGCRH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GonzalezH96, author = {Ricardo Gonzalez and Mark Horowitz}, title = {Energy dissipation in general purpose microprocessors}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {9}, pages = {1277--1284}, year = {1996}, url = {https://doi.org/10.1109/4.535411}, doi = {10.1109/4.535411}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GonzalezH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YangH96, author = {Chih{-}Kong Ken Yang and Mark A. Horowitz}, title = {A 0.8-{\(\mu\)}m {CMOS} 2.5 Gb/s oversampling receiver and transmitter for serial links}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {12}, pages = {2015--2023}, year = {1996}, url = {https://doi.org/10.1109/4.545825}, doi = {10.1109/4.545825}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YangH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HoH96, author = {Richard C. Ho and Mark Horowitz}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Validation coverage analysis for complex digital designs}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {146--151}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569537}, doi = {10.1109/ICCAD.1996.569537}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HoH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HorowitzMMS96, author = {Mark Horowitz and Margaret Martonosi and Todd C. Mowry and Michael D. Smith}, editor = {Jean{-}Loup Baer}, title = {Informing Memory Operations: Providing Memory Performance Feedback in Modern Processors}, booktitle = {Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996}, pages = {260--270}, publisher = {{ACM}}, year = {1996}, url = {https://doi.org/10.1145/232973.233000}, doi = {10.1145/232973.233000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/HorowitzMMS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/WeiH96, author = {Gu{-}Yeon Wei and Mark Horowitz}, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {A low power switching power supply for self-clocked systems}, booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, pages = {313--317}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/LPE.1996.547531}, doi = {10.1109/LPE.1996.547531}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/islped/WeiH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/1996, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, publisher = {{IEEE}}, year = {1996}, url = {https://ieeexplore.ieee.org/xpl/conhome/3976/proceeding}, isbn = {0-7803-3571-6}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DobbelaereHG95, author = {Ivo Dobbelaere and Mark Horowitz and Abbas El Gamal}, title = {Regenerative feedback repeaters for programmable interconnections}, journal = {{IEEE} J. Solid State Circuits}, volume = {30}, number = {11}, pages = {1246--1253}, year = {1995}, url = {https://doi.org/10.1109/4.475712}, doi = {10.1109/4.475712}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DobbelaereHG95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arvlsi/DhaneshaFH95, author = {H. Dhanesha and K. Falakshahi and Mark Horowitz}, title = {Array-of-arrays architecture for parallel floating point multiplication}, booktitle = {16th Conference on Advanced Research in {VLSI} {(ARVLSI} '95), March 27-29, 1995, Chapel Hill, North Carolina, {USA}}, pages = {150--157}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ARVLSI.1995.515617}, doi = {10.1109/ARVLSI.1995.515617}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arvlsi/DhaneshaFH95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HoYHD95, author = {Richard C. Ho and C. Han Yang and Mark Horowitz and David L. Dill}, editor = {David A. Patterson}, title = {Architecture Validation for Processors}, booktitle = {Proceedings of the 22nd Annual International Symposium on Computer Architecture, {ISCA} '95, Santa Margherita Ligure, Italy, June 22-24, 1995}, pages = {404--413}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/223982.224450}, doi = {10.1145/223982.224450}, timestamp = {Thu, 13 Apr 2023 19:55:42 +0200}, biburl = {https://dblp.org/rec/conf/isca/HoYHD95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/UsamiH95, author = {Kimiyoshi Usami and Mark Horowitz}, editor = {Massoud Pedram and Robert W. Brodersen and Kurt Keutzer}, title = {Clustered voltage scaling technique for low-power design}, booktitle = {Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995}, pages = {3--8}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224081.224083}, doi = {10.1145/224081.224083}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/UsamiH95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KaoH94, author = {Russell Kao and Mark Horowitz}, title = {Eliminating redundant {DC} equations for asymptotic waveform evaluation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {3}, pages = {396--397}, year = {1994}, url = {https://doi.org/10.1109/43.265681}, doi = {10.1109/43.265681}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KaoH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KaoH94a, author = {Russell Kao and Mark Horowitz}, title = {Timing analysis for piecewise linear Rsim}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {12}, pages = {1498--1512}, year = {1994}, url = {https://doi.org/10.1109/43.331407}, doi = {10.1109/43.331407}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KaoH94a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/DeanDH94, author = {Mark E. Dean and David L. Dill and Mark Horowitz}, title = {Self-timed logic using Current-Sensing Completion Detection {(CSCD)}}, journal = {J. {VLSI} Signal Process.}, volume = {7}, number = {1-2}, pages = {7--16}, year = {1994}, url = {https://doi.org/10.1007/BF02108186}, doi = {10.1007/BF02108186}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/DeanDH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/HeinrichKOHBSSGNHGRH94, author = {Mark A. Heinrich and Jeffrey Kuskin and David Ofelt and John Heinlein and Joel Baxter and Jaswinder Pal Singh and Richard Simoni and Kourosh Gharachorloo and David Nakahira and Mark Horowitz and Anoop Gupta and Mendel Rosenblum and John L. Hennessy}, editor = {Forest Baskett and Douglas W. Clark}, title = {The Performance Impact of Flexibility in the Stanford {FLASH} Multiprocessor}, booktitle = {{ASPLOS-VI} Proceedings - Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 4-7, 1994}, pages = {274--285}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/195473.195569}, doi = {10.1145/195473.195569}, timestamp = {Wed, 07 Jul 2021 13:23:09 +0200}, biburl = {https://dblp.org/rec/conf/asplos/HeinrichKOHBSSGNHGRH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/LaudonGH94, author = {James Laudon and Anoop Gupta and Mark Horowitz}, editor = {Forest Baskett and Douglas W. Clark}, title = {Interleaving: {A} Multithreading Technique Targeting Multiprocessors and Workstations}, booktitle = {{ASPLOS-VI} Proceedings - Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 4-7, 1994}, pages = {308--318}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/195473.195576}, doi = {10.1145/195473.195576}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/LaudonGH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KuskinOHHSGCNBHGRH94, author = {Jeffrey Kuskin and David Ofelt and Mark A. Heinrich and John Heinlein and Richard Simoni and Kourosh Gharachorloo and John Chapin and David Nakahira and Joel Baxter and Mark Horowitz and Anoop Gupta and Mendel Rosenblum and John L. Hennessy}, editor = {David A. Patterson}, title = {The Stanford {FLASH} Multiprocessor}, booktitle = {Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, IL, USA, April 1994}, pages = {302--313}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ISCA.1994.288140}, doi = {10.1109/ISCA.1994.288140}, timestamp = {Thu, 13 Apr 2023 19:55:42 +0200}, biburl = {https://dblp.org/rec/conf/isca/KuskinOHHSGCNBHGRH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GasbarroH94, author = {James A. Gasbarro and Mark Horowitz}, title = {Techniques for Characterizing DRAMs With a 500-MHz Interface}, booktitle = {Proceedings {IEEE} International Test Conference 1994, {TEST:} The Next 25 Years, Washington, DC, USA, October 2-6, 1994}, pages = {516--525}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/TEST.1994.527994}, doi = {10.1109/TEST.1994.527994}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GasbarroH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/94/LaudonGH94, author = {James Laudon and Anoop Gupta and Mark Horowitz}, editor = {Robert A. Iannucci and Guang R. Gao and Robert H. Halstead Jr. and Burton J. Smith}, title = {Architectural and Implementation Tradeoffs in the Design of Multiple-Context Processors}, booktitle = {Multithreaded Computer Architecture}, series = {The Kluwer International Series in Engineering and Computer Science}, volume = {281}, pages = {167--200}, publisher = {Kluwer / Springer}, year = {1994}, url = {https://doi.org/10.1007/978-1-4615-2698-8\_8}, doi = {10.1007/978-1-4615-2698-8\_8}, timestamp = {Fri, 02 Aug 2019 12:47:35 +0200}, biburl = {https://dblp.org/rec/books/sp/94/LaudonGH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/NowickDDH93, author = {Steven M. Nowick and Mark E. Dean and David L. Dill and Mark Horowitz}, title = {The design of a high-performance cache controller: a case study in asynchronous synthesis}, journal = {Integr.}, volume = {15}, number = {3}, pages = {241--262}, year = {1993}, url = {https://doi.org/10.1016/0167-9260(93)90032-8}, doi = {10.1016/0167-9260(93)90032-8}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/NowickDDH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KaoH93, author = {Russell Kao and Mark Horowitz}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Piecewise linear models for Rsim}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {753--758}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580173}, doi = {10.1109/ICCAD.1993.580173}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KaoH93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/LenoskiLGWGHHL92, author = {Daniel Lenoski and James Laudon and Kourosh Gharachorloo and Wolf{-}Dietrich Weber and Anoop Gupta and John L. Hennessy and Mark Horowitz and Monica S. Lam}, title = {The Stanford Dash Multiprocessor}, journal = {Computer}, volume = {25}, number = {3}, pages = {63--79}, year = {1992}, url = {https://doi.org/10.1109/2.121510}, doi = {10.1109/2.121510}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/LenoskiLGWGHHL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SmithHL92, author = {Michael D. Smith and Mark Horowitz and Monica S. Lam}, editor = {Barry Flahive and Richard L. Wexelblat}, title = {Efficient Superscalar Performance Through Boosting}, booktitle = {{ASPLOS-V} Proceedings - Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, October 12-15, 1992}, pages = {248--259}, publisher = {{ACM} Press}, year = {1992}, url = {https://doi.org/10.1145/143365.143534}, doi = {10.1145/143365.143534}, timestamp = {Wed, 07 Jul 2021 13:23:09 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SmithHL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LaudonGH92, author = {James Laudon and Anoop Gupta and Mark Horowitz}, editor = {Allan Gottlieb}, title = {Architectural and implementation tradeoffs in the design of multiple-context processors}, booktitle = {Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, Australia, May 1992}, pages = {435}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/146628.140564}, doi = {10.1145/146628.140564}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/LaudonGH92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/WilliamsH91, author = {Ted E. Williams and Mark A. Horowitz}, title = {A 160 ns 54 bit {CMOS} division implementation using self-timing and symmetrically overlapped {SRT} stages}, booktitle = {10th {IEEE} Symposium on Computer Arithmetic, {ARITH} 1991, Grenoble, France, June 26-28, 1991}, pages = {210--217}, publisher = {{IEEE}}, year = {1991}, url = {https://doi.org/10.1109/ARITH.1991.145561}, doi = {10.1109/ARITH.1991.145561}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/arith/WilliamsH91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DeanDH91, author = {Mark E. Dean and David L. Dill and Mark Horowitz}, title = {Self-Timed Logic Using Current-Sensing Completion Detection {(CSCD)}}, booktitle = {Proceedings 1991 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '91, Cambridge, MA, USA, October 14-16, 1991}, pages = {187--191}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCD.1991.139878}, doi = {10.1109/ICCD.1991.139878}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DeanDH91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SimoniH91, author = {Richard Simoni and Mark Horowitz}, editor = {Zvonko G. Vranesic}, title = {Modeling the Performance of Limited Pointers Directories for Cache Coherence}, booktitle = {Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, Canada, May, 27-30 1991}, pages = {309--319}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/115952.115983}, doi = {10.1145/115952.115983}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/SimoniH91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/StarkH90, author = {Don Stark and Mark Horowitz}, title = {Techniques for calculating currents and voltages in {VLSI} power supply networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {2}, pages = {126--132}, year = {1990}, url = {https://doi.org/10.1109/43.46778}, doi = {10.1109/43.46778}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/StarkH90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compcon/LenoskiGLGHHL90, author = {Daniel Lenoski and Kourosh Gharachorloo and James Laudon and Anoop Gupta and John L. Hennessy and Mark Horowitz and Monica Lam}, title = {Design of scalable shared-memory multiprocessors: the {DASH} approach}, booktitle = {Intellectual Leverage: Thirty-Fifth {IEEE} Computer Society International Conference, Compcon Spring '90, San Francisco, California, USA, February 26 - March 2, 1992, Digest of Papers}, pages = {62--67}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/CMPCON.1990.63654}, doi = {10.1109/CMPCON.1990.63654}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compcon/LenoskiGLGHHL90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/SmithLH90, author = {Michael D. Smith and Monica S. Lam and Mark Horowitz}, editor = {Jean{-}Loup Baer and Larry Snyder and James R. Goodman}, title = {Boosting Beyond Static Scheduling in a Superscalar Processor}, booktitle = {Proceedings of the 17th Annual International Symposium on Computer Architecture, Seattle, WA, USA, June 1990}, pages = {344--354}, publisher = {{ACM}}, year = {1990}, url = {https://doi.org/10.1145/325164.325160}, doi = {10.1145/325164.325160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/SmithLH90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/AgarwalHH89, author = {Anant Agarwal and Mark Horowitz and John L. Hennessy}, title = {An Analytical Cache Model}, journal = {{ACM} Trans. Comput. Syst.}, volume = {7}, number = {2}, pages = {184--215}, year = {1989}, url = {https://doi.org/10.1145/63404.63407}, doi = {10.1145/63404.63407}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/AgarwalHH89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/SantoroBH89, author = {Mark R. Santoro and Gary Bewick and Mark A. Horowitz}, title = {Rounding algorithms for {IEEE} multipliers}, booktitle = {9th Symposium on Computer Arithmetic, {ARITH} 1989, Santa Monica, CA, USA, September 6-8, 1989}, pages = {176--183}, publisher = {{IEEE}}, year = {1989}, url = {https://doi.org/10.1109/ARITH.1989.72824}, doi = {10.1109/ARITH.1989.72824}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/arith/SantoroBH89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SmithJH89, author = {Michael D. Smith and Mike Johnson and Mark Horowitz}, editor = {Joel S. Emer and John L. Hennessy}, title = {Limits on Multiple Instruction Issue}, booktitle = {{ASPLOS-III} Proceedings - Third International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, April 3-6, 1989}, pages = {290--302}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/70082.68209}, doi = {10.1145/70082.68209}, timestamp = {Wed, 07 Jul 2021 13:23:09 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SmithJH89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SalzH89, author = {A. Salz and Mark Horowitz}, editor = {Donald E. Thomas}, title = {{IRSIM:} An Incremental {MOS} Switch-Level Simulator}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {173--178}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74412}, doi = {10.1145/74382.74412}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SalzH89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/PrzybylskiHH89, author = {Steven A. Przybylski and Mark Horowitz and John L. Hennessy}, editor = {Jean{-}Claude Syre}, title = {Characteristics of Performance-Optimal Multi-Level Cache Hierarchies}, booktitle = {Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989}, pages = {114--121}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/74925.74939}, doi = {10.1145/74925.74939}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/PrzybylskiHH89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/HuyserH88, author = {Karen A. Huyser and Mark A. Horowitz}, title = {Generalization in digital functions}, journal = {Neural Networks}, volume = {1}, number = {Supplement-1}, pages = {101}, year = {1988}, url = {https://doi.org/10.1016/0893-6080(88)90140-2}, doi = {10.1016/0893-6080(88)90140-2}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/HuyserH88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/AgarwalHH88, author = {Anant Agarwal and John L. Hennessy and Mark Horowitz}, title = {Cache Performance of Operating System and Multiprogramming Workloads}, journal = {{ACM} Trans. Comput. Syst.}, volume = {6}, number = {4}, pages = {393--431}, year = {1988}, url = {https://doi.org/10.1145/48012.48037}, doi = {10.1145/48012.48037}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/AgarwalHH88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StarkH88, author = {Don Stark and Mark Horowitz}, editor = {Dennis W. Shaklee and A. Richard Newton}, title = {Analyzing {CMOS} Power Supply Networks Using Ariel}, booktitle = {Proceedings of the 25th {ACM/IEEE} Conference on Design Automation, {DAC} '88, Anaheim, CA, USA, June 12-15, 1988}, pages = {460--464}, publisher = {{ACM}}, year = {1988}, url = {http://portal.acm.org/citation.cfm?id=285730.285804}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/dac/StarkH88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KaoAHS88, author = {Russell Kao and Bob Alverson and Mark Horowitz and Don Stark}, title = {Bisim: a simulator for custom {ECL} circuits}, booktitle = {1988 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1988, Santa Clara, CA, USA, November 7-10, 1988. Digest of Technical Papers}, pages = {62--65}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ICCAD.1988.122463}, doi = {10.1109/ICCAD.1988.122463}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KaoAHS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AgarwalSHH88, author = {Anant Agarwal and Richard Simoni and John L. Hennessy and Mark Horowitz}, editor = {Howard Jay Siegel}, title = {An Evaluation of Directory Schemes for Cache Coherence}, booktitle = {Proceedings of the 15th Annual International Symposium on Computer Architecture, Honolulu, Hawaii, USA, May-June 1988}, pages = {280--289}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ISCA.1988.5238}, doi = {10.1109/ISCA.1988.5238}, timestamp = {Thu, 08 Jul 2021 16:04:01 +0200}, biburl = {https://dblp.org/rec/conf/isca/AgarwalSHH88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/PrzybylskiHH88, author = {Steven A. Przybylski and Mark Horowitz and John L. Hennessy}, editor = {Howard Jay Siegel}, title = {Performance Tradeoffs in Cache Design}, booktitle = {Proceedings of the 15th Annual International Symposium on Computer Architecture, Honolulu, Hawaii, USA, May-June 1988}, pages = {290--298}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ISCA.1988.5239}, doi = {10.1109/ISCA.1988.5239}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/PrzybylskiHH88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChuH87, author = {Chorng{-}Yeong Chu and Mark Horowitz}, title = {Charge-Sharing Models for Switch-Level Simulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {6}, number = {6}, pages = {1053--1061}, year = {1987}, url = {https://doi.org/10.1109/TCAD.1987.1270346}, doi = {10.1109/TCAD.1987.1270346}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChuH87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CarpenterH87, author = {Clyde W. Carpenter and Mark Horowitz}, editor = {A. O'Neill and D. Thomas}, title = {Generating Incremental {VLSI} Compaction Spacing Constraints}, booktitle = {Proceedings of the 24th {ACM/IEEE} Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987}, pages = {291--297}, publisher = {{IEEE} Computer Society Press / {ACM}}, year = {1987}, url = {https://doi.org/10.1145/37888.37932}, doi = {10.1145/37888.37932}, timestamp = {Tue, 25 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CarpenterH87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StarkH87, author = {Don Stark and Mark Horowitz}, editor = {A. O'Neill and D. Thomas}, title = {{RED:} Resistance Extraction for Digital Simulation}, booktitle = {Proceedings of the 24th {ACM/IEEE} Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987}, pages = {570--573}, publisher = {{IEEE} Computer Society Press / {ACM}}, year = {1987}, url = {https://doi.org/10.1145/37888.37976}, doi = {10.1145/37888.37976}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/StarkH87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ChowH87, author = {Paul Chow and Mark Horowitz}, editor = {Daniel C. St. Clair}, title = {Architectural Tradeoffs in the Design of {MIPS-X}}, booktitle = {Proceedings of the 14th Annual International Symposium on Computer Architecture. Pittsburgh, PA, USA, June 1987}, pages = {300--308}, year = {1987}, url = {https://doi.org/10.1145/30350.30384}, doi = {10.1145/30350.30384}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/ChowH87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AgarwalSH86, author = {Anant Agarwal and Richard L. Sites and Mark Horowitz}, editor = {Hideo Aiso}, title = {{ATUM:} {A} New Technique for Capturing Address Traces Using Microcode}, booktitle = {Proceedings of the 13th Annual Symposium on Computer Architecture, Tokyo, Japan, June 1986}, pages = {119--127}, publisher = {{IEEE} Computer Society}, year = {1986}, url = {https://doi.org/10.1145/17356.17370}, doi = {10.1145/17356.17370}, timestamp = {Mon, 12 Jul 2021 17:55:24 +0200}, biburl = {https://dblp.org/rec/conf/isca/AgarwalSH86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HorowitzD83, author = {Mark Horowitz and Robert W. Dutton}, title = {Resistance Extraction from Mask Layout Data}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {2}, number = {3}, pages = {145--150}, year = {1983}, url = {https://doi.org/10.1109/TCAD.1983.1270032}, doi = {10.1109/TCAD.1983.1270032}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HorowitzD83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RubinsteinPH83, author = {Jorge Rubinstein and Paul Penfield Jr. and Mark A. Horowitz}, title = {Signal Delay in {RC} Tree Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {2}, number = {3}, pages = {202--211}, year = {1983}, url = {https://doi.org/10.1109/TCAD.1983.1270037}, doi = {10.1109/TCAD.1983.1270037}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RubinsteinPH83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.