Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Kurt Keutzer
@inproceedings{DBLP:conf/aaai/ZhangLLYDGONKDZ24, author = {Rongyu Zhang and Yulin Luo and Jiaming Liu and Huanrui Yang and Zhen Dong and Denis A. Gudovskiy and Tomoyuki Okuno and Yohei Nakata and Kurt Keutzer and Yuan Du and Shanghang Zhang}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Efficient Deweahter Mixture-of-Experts with Uncertainty-Aware Feature-Wise Linear Modulation}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {16812--16820}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i15.29622}, doi = {10.1609/AAAI.V38I15.29622}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhangLLYDGONKDZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ShenYZZGKD24, author = {Sheng Shen and Shijia Yang and Tianjun Zhang and Bohan Zhai and Joseph E. Gonzalez and Kurt Keutzer and Trevor Darrell}, title = {Multitask Vision-Language Prompt Tuning}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {5644--5655}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00556}, doi = {10.1109/WACV57701.2024.00556}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ShenYZZGKD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-07853, author = {Rongyu Zhang and Zefan Cai and Huanrui Yang and Zidong Liu and Denis A. Gudovskiy and Tomoyuki Okuno and Yohei Nakata and Kurt Keutzer and Baobao Chang and Yuan Du and Li Du and Shanghang Zhang}, title = {VeCAF: VLM-empowered Collaborative Active Finetuning with Training Objective Awareness}, journal = {CoRR}, volume = {abs/2401.07853}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.07853}, doi = {10.48550/ARXIV.2401.07853}, eprinttype = {arXiv}, eprint = {2401.07853}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-07853.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-07886, author = {Siddharth Jha and Coleman Hooper and Xiaoxuan Liu and Sehoon Kim and Kurt Keutzer}, title = {Learned Best-Effort {LLM} Serving}, journal = {CoRR}, volume = {abs/2401.07886}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.07886}, doi = {10.48550/ARXIV.2401.07886}, eprinttype = {arXiv}, eprint = {2401.07886}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-07886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-18079, author = {Coleman Hooper and Sehoon Kim and Hiva Mohammadzadeh and Michael W. Mahoney and Yakun Sophia Shao and Kurt Keutzer and Amir Gholami}, title = {KVQuant: Towards 10 Million Context Length {LLM} Inference with {KV} Cache Quantization}, journal = {CoRR}, volume = {abs/2401.18079}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.18079}, doi = {10.48550/ARXIV.2401.18079}, eprinttype = {arXiv}, eprint = {2401.18079}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-18079.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09368, author = {Ze Ma and Daquan Zhou and Chun{-}Hsiao Yeh and Xue{-}She Wang and Xiuyu Li and Huanrui Yang and Zhen Dong and Kurt Keutzer and Jiashi Feng}, title = {Magic-Me: Identity-Specific Video Customized Diffusion}, journal = {CoRR}, volume = {abs/2402.09368}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09368}, doi = {10.48550/ARXIV.2402.09368}, eprinttype = {arXiv}, eprint = {2402.09368}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09368.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-16363, author = {Zhihang Yuan and Yuzhang Shang and Yang Zhou and Zhen Dong and Zhe Zhou and Chenhao Xue and Bingzhe Wu and Zhikai Li and Qingyi Gu and Yong Jae Lee and Yan Yan and Beidi Chen and Guangyu Sun and Kurt Keutzer}, title = {{LLM} Inference Unveiled: Survey and Roofline Model Insights}, journal = {CoRR}, volume = {abs/2402.16363}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.16363}, doi = {10.48550/ARXIV.2402.16363}, eprinttype = {arXiv}, eprint = {2402.16363}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-16363.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-08125, author = {Chensheng Peng and Chenfeng Xu and Yue Wang and Mingyu Ding and Heng Yang and Masayoshi Tomizuka and Kurt Keutzer and Marco Pavone and Wei Zhan}, title = {{Q-SLAM:} Quadric Representations for Monocular {SLAM}}, journal = {CoRR}, volume = {abs/2403.08125}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.08125}, doi = {10.48550/ARXIV.2403.08125}, eprinttype = {arXiv}, eprint = {2403.08125}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-08125.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12031, author = {Qitian Jason Hu and Jacob Bieker and Xiuyu Li and Nan Jiang and Benjamin Keigwin and Gaurav Ranganath and Kurt Keutzer and Shriyash Kaustubh Upadhyay}, title = {RouterBench: {A} Benchmark for Multi-LLM Routing System}, journal = {CoRR}, volume = {abs/2403.12031}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12031}, doi = {10.48550/ARXIV.2403.12031}, eprinttype = {arXiv}, eprint = {2403.12031}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12031.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14123, author = {Amir Gholami and Zhewei Yao and Sehoon Kim and Coleman Hooper and Michael W. Mahoney and Kurt Keutzer}, title = {{AI} and Memory Wall}, journal = {CoRR}, volume = {abs/2403.14123}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14123}, doi = {10.48550/ARXIV.2403.14123}, eprinttype = {arXiv}, eprint = {2403.14123}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14123.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15042, author = {Nicholas Lee and Thanakul Wattanawong and Sehoon Kim and Karttikeya Mangalam and Sheng Shen and Gopala Anumanchipali and Michael W. Mahoney and Kurt Keutzer and Amir Gholami}, title = {{LLM2LLM:} Boosting LLMs with Novel Iterative Data Enhancement}, journal = {CoRR}, volume = {abs/2403.15042}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15042}, doi = {10.48550/ARXIV.2403.15042}, eprinttype = {arXiv}, eprint = {2403.15042}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15042.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/XiaXRD0KTZ23, author = {Chao Xia and Chenfeng Xu and Patrick Rim and Mingyu Ding and Nanning Zheng and Kurt Keutzer and Masayoshi Tomizuka and Wei Zhan}, title = {Quadric Representations for LiDAR Odometry, Mapping and Localization}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {8}, pages = {5023--5030}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3290510}, doi = {10.1109/LRA.2023.3290510}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/XiaXRD0KTZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LuXWXTKZ23, author = {Yuheng Lu and Chenfeng Xu and Xiaobao Wei and Xiaodong Xie and Masayoshi Tomizuka and Kurt Keutzer and Shanghang Zhang}, title = {Open-Vocabulary Point-Cloud Object Detection without 3D Annotation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1190--1199}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00121}, doi = {10.1109/CVPR52729.2023.00121}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LuXWXTKZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuYDKDZ23, author = {Yijiang Liu and Huanrui Yang and Zhen Dong and Kurt Keutzer and Li Du and Shanghang Zhang}, title = {NoisyQuant: Noisy Bias-Enhanced Post-Training Activation Quantization for Vision Transformers}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {20321--20330}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01946}, doi = {10.1109/CVPR52729.2023.01946}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiuYDKDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XiaoYDKDZ23, author = {Lirui Xiao and Huanrui Yang and Zhen Dong and Kurt Keutzer and Li Du and Shanghang Zhang}, title = {{CSQ:} Growing Mixed-Precision Quantization Scheme with Bi-level Continuous Sparsification}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247982}, doi = {10.1109/DAC56929.2023.10247982}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/XiaoYDKDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/YuLK23, author = {Brian Yu and Hansen Lillemark and Kurt Keutzer}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Simple and Effective Input Reformulations for Translation}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {10322--10334}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.638}, doi = {10.18653/V1/2023.EMNLP-MAIN.638}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/YuLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ShenYLDKH23, author = {Sheng Shen and Zhewei Yao and Chunyuan Li and Trevor Darrell and Kurt Keutzer and Yuxiong He}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Scaling Vision-Language Models with Sparse Mixture of Experts}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {11329--11344}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.758}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.758}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ShenYLDKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ZhangDYLTDKDZ23, author = {Yifan Zhang and Zhen Dong and Huanrui Yang and Ming Lu and Cheng{-}Ching Tseng and Yuan Du and Kurt Keutzer and Li Du and Shanghang Zhang}, title = {{QD-BEV} : Quantization-aware View-guided Distillation for Multi-view 3D Object Detection}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {3802--3812}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00354}, doi = {10.1109/ICCV51070.2023.00354}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ZhangDYLTDKDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ReedGLBFCKCUD23, author = {Colorado J. Reed and Ritwik Gupta and Shufan Li and Sarah Brockman and Christopher Funk and Brian Clipp and Kurt Keutzer and Salvatore Candido and Matt Uyttendaele and Trevor Darrell}, title = {Scale-MAE: {A} Scale-Aware Masked Autoencoder for Multiscale Geospatial Representation Learning}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {4065--4076}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00378}, doi = {10.1109/ICCV51070.2023.00378}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ReedGLBFCKCUD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LiLLYDKZK23, author = {Xiuyu Li and Yijiang Liu and Long Lian and Huanrui Yang and Zhen Dong and Daniel Kang and Shanghang Zhang and Kurt Keutzer}, title = {Q-Diffusion: Quantizing Diffusion Models}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {17489--17499}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01608}, doi = {10.1109/ICCV51070.2023.01608}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LiLLYDKZK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/XieXRRTKTZ23, author = {Yichen Xie and Chenfeng Xu and Marie{-}Julie Rakotosaona and Patrick Rim and Federico Tombari and Kurt Keutzer and Masayoshi Tomizuka and Wei Zhan}, title = {SparseFusion: Fusing Multi-Modal Sparse Representations for Multi-Sensor 3D Object Detection}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {17545--17556}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01613}, doi = {10.1109/ICCV51070.2023.01613}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/XieXRRTKTZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/XuWHTLWZHVKT23, author = {Chenfeng Xu and Bichen Wu and Ji Hou and Sam S. Tsai and Ruilong Li and Jialiang Wang and Wei Zhan and Zijian He and Peter Vajda and Kurt Keutzer and Masayoshi Tomizuka}, title = {NeRF-Det: Learning Geometry-Aware Volumetric Representation for Multi-View 3D Object Detection}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {23263--23273}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.02131}, doi = {10.1109/ICCV51070.2023.02131}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/XuWHTLWZHVKT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ParkXYKKTZ23, author = {Jinhyung Park and Chenfeng Xu and Shijia Yang and Kurt Keutzer and Kris M. Kitani and Masayoshi Tomizuka and Wei Zhan}, title = {Time Will Tell: New Outlooks and {A} Baseline for Temporal Multi-View 3D Object Detection}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/pdf?id=H3HcEJA2Um}, timestamp = {Fri, 30 Jun 2023 14:38:38 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ParkXYKKTZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChenLSYLKD023, author = {Liangyu Chen and Bo Li and Sheng Shen and Jingkang Yang and Chunyuan Li and Kurt Keutzer and Trevor Darrell and Ziwei Liu}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Large Language Models are Visual Reasoning Coordinators}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/ddfe6bae7b869e819f842753009b94ad-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ChenLSYLKD023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimMMMMGK23, author = {Sehoon Kim and Karttikeya Mangalam and Suhong Moon and Jitendra Malik and Michael W. Mahoney and Amir Gholami and Kurt Keutzer}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Speculative Decoding with Big Little Decoder}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/7b97adeafa1c51cf65263459ca9d0d7c-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimMMMMGK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SubramanianHKBM23, author = {Shashank Subramanian and Peter Harrington and Kurt Keutzer and Wahid Bhimji and Dmitriy Morozov and Michael W. Mahoney and Amir Gholami}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Towards Foundation Models for Scientific Machine Learning: Characterizing Scaling and Transfer Behavior}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/e15790966a4a9d85d688635c88ee6d8a-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SubramanianHKBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-04304, author = {Xiuyu Li and Long Lian and Yijiang Liu and Huanrui Yang and Zhen Dong and Daniel Kang and Shanghang Zhang and Kurt Keutzer}, title = {Q-Diffusion: Quantizing Diffusion Models}, journal = {CoRR}, volume = {abs/2302.04304}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.04304}, doi = {10.48550/ARXIV.2302.04304}, eprinttype = {arXiv}, eprint = {2302.04304}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-04304.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-07863, author = {Sehoon Kim and Karttikeya Mangalam and Jitendra Malik and Michael W. Mahoney and Amir Gholami and Kurt Keutzer}, title = {Big Little Transformer Decoder}, journal = {CoRR}, volume = {abs/2302.07863}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.07863}, doi = {10.48550/ARXIV.2302.07863}, eprinttype = {arXiv}, eprint = {2302.07863}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-07863.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-14017, author = {Sehoon Kim and Coleman Hooper and Thanakul Wattanawong and Minwoo Kang and Ruohan Yan and Hasan Genc and Grace Dinh and Qijing Huang and Kurt Keutzer and Michael W. Mahoney and Yakun Sophia Shao and Amir Gholami}, title = {Full Stack Optimization of Transformer Inference: a Survey}, journal = {CoRR}, volume = {abs/2302.14017}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.14017}, doi = {10.48550/ARXIV.2302.14017}, eprinttype = {arXiv}, eprint = {2302.14017}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-14017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-07226, author = {Sheng Shen and Zhewei Yao and Chunyuan Li and Trevor Darrell and Kurt Keutzer and Yuxiong He}, title = {Scaling Vision-Language Models with Sparse Mixture of Experts}, journal = {CoRR}, volume = {abs/2303.07226}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.07226}, doi = {10.48550/ARXIV.2303.07226}, eprinttype = {arXiv}, eprint = {2303.07226}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-07226.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-14190, author = {Chao Xia and Chenfeng Xu and Patrick Rim and Mingyu Ding and Nanning Zheng and Kurt Keutzer and Masayoshi Tomizuka and Wei Zhan}, title = {Quadric Representations for LiDAR Odometry, Mapping and Localization}, journal = {CoRR}, volume = {abs/2304.14190}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.14190}, doi = {10.48550/ARXIV.2304.14190}, eprinttype = {arXiv}, eprint = {2304.14190}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-14190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-14340, author = {Yichen Xie and Chenfeng Xu and Marie{-}Julie Rakotosaona and Patrick Rim and Federico Tombari and Kurt Keutzer and Masayoshi Tomizuka and Wei Zhan}, title = {SparseFusion: Fusing Multi-Modal Sparse Representations for Multi-Sensor 3D Object Detection}, journal = {CoRR}, volume = {abs/2304.14340}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.14340}, doi = {10.48550/ARXIV.2304.14340}, eprinttype = {arXiv}, eprint = {2304.14340}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-14340.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14705, author = {Sheng Shen and Le Hou and Yanqi Zhou and Nan Du and Shayne Longpre and Jason Wei and Hyung Won Chung and Barret Zoph and William Fedus and Xinyun Chen and Tu Vu and Yuexin Wu and Wuyang Chen and Albert Webson and Yunxuan Li and Vincent Y. Zhao and Hongkun Yu and Kurt Keutzer and Trevor Darrell and Denny Zhou}, title = {Flan-MoE: Scaling Instruction-Finetuned Language Models with Sparse Mixture of Experts}, journal = {CoRR}, volume = {abs/2305.14705}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14705}, doi = {10.48550/ARXIV.2305.14705}, eprinttype = {arXiv}, eprint = {2305.14705}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14705.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-00258, author = {Shashank Subramanian and Peter Harrington and Kurt Keutzer and Wahid Bhimji and Dmitriy Morozov and Michael W. Mahoney and Amir Gholami}, title = {Towards Foundation Models for Scientific Machine Learning: Characterizing Scaling and Transfer Behavior}, journal = {CoRR}, volume = {abs/2306.00258}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.00258}, doi = {10.48550/ARXIV.2306.00258}, eprinttype = {arXiv}, eprint = {2306.00258}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-00258.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-07629, author = {Sehoon Kim and Coleman Hooper and Amir Gholami and Zhen Dong and Xiuyu Li and Sheng Shen and Michael W. Mahoney and Kurt Keutzer}, title = {SqueezeLLM: Dense-and-Sparse Quantization}, journal = {CoRR}, volume = {abs/2306.07629}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.07629}, doi = {10.48550/ARXIV.2306.07629}, eprinttype = {arXiv}, eprint = {2306.07629}, timestamp = {Sat, 17 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-07629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-14620, author = {Chenfeng Xu and Bichen Wu and Ji Hou and Sam S. Tsai and Ruilong Li and Jialiang Wang and Wei Zhan and Zijian He and Peter Vajda and Kurt Keutzer and Masayoshi Tomizuka}, title = {NeRF-Det: Learning Geometry-Aware Volumetric Representation for Multi-View 3D Object Detection}, journal = {CoRR}, volume = {abs/2307.14620}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.14620}, doi = {10.48550/ARXIV.2307.14620}, eprinttype = {arXiv}, eprint = {2307.14620}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-14620.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-10515, author = {Yifan Zhang and Zhen Dong and Huanrui Yang and Ming Lu and Cheng{-}Ching Tseng and Yuan Du and Kurt Keutzer and Li Du and Shanghang Zhang}, title = {{QD-BEV} : Quantization-aware View-guided Distillation for Multi-view 3D Object Detection}, journal = {CoRR}, volume = {abs/2308.10515}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.10515}, doi = {10.48550/ARXIV.2308.10515}, eprinttype = {arXiv}, eprint = {2308.10515}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-10515.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-14525, author = {Zhiqing Sun and Sheng Shen and Shengcao Cao and Haotian Liu and Chunyuan Li and Yikang Shen and Chuang Gan and Liang{-}Yan Gui and Yu{-}Xiong Wang and Yiming Yang and Kurt Keutzer and Trevor Darrell}, title = {Aligning Large Multimodal Models with Factually Augmented {RLHF}}, journal = {CoRR}, volume = {abs/2309.14525}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.14525}, doi = {10.48550/ARXIV.2309.14525}, eprinttype = {arXiv}, eprint = {2309.14525}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-14525.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-01779, author = {Bohan Zhai and Shijia Yang and Xiangchen Zhao and Chenfeng Xu and Sheng Shen and Dongdi Zhao and Kurt Keutzer and Manling Li and Tan Yan and Xiangjun Fan}, title = {HallE-Switch: Rethinking and Controlling Object Existence Hallucinations in Large Vision Language Models for Detailed Caption}, journal = {CoRR}, volume = {abs/2310.01779}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.01779}, doi = {10.48550/ARXIV.2310.01779}, eprinttype = {arXiv}, eprint = {2310.01779}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-01779.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-07147, author = {Zhikai Li and Xiaoxuan Liu and Banghua Zhu and Zhen Dong and Qingyi Gu and Kurt Keutzer}, title = {{QFT:} Quantized Full-parameter Tuning of LLMs with Affordable Resources}, journal = {CoRR}, volume = {abs/2310.07147}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.07147}, doi = {10.48550/ARXIV.2310.07147}, eprinttype = {arXiv}, eprint = {2310.07147}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-07147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-10008, author = {Yiyuan Zhang and Kaixiong Gong and Xiaohan Ding and Kaipeng Zhang and Fangrui Lv and Kurt Keutzer and Xiangyu Yue}, title = {Towards Unified and Effective Domain Generalization}, journal = {CoRR}, volume = {abs/2310.10008}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.10008}, doi = {10.48550/ARXIV.2310.10008}, eprinttype = {arXiv}, eprint = {2310.10008}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-10008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-12072, author = {Coleman Hooper and Sehoon Kim and Hiva Mohammadzadeh and Hasan Genc and Kurt Keutzer and Amir Gholami and Yakun Sophia Shao}, title = {{SPEED:} Speculative Pipelined Execution for Efficient Decoding}, journal = {CoRR}, volume = {abs/2310.12072}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.12072}, doi = {10.48550/ARXIV.2310.12072}, eprinttype = {arXiv}, eprint = {2310.12072}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-12072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-15166, author = {Liangyu Chen and Bo Li and Sheng Shen and Jingkang Yang and Chunyuan Li and Kurt Keutzer and Trevor Darrell and Ziwei Liu}, title = {Large Language Models are Visual Reasoning Coordinators}, journal = {CoRR}, volume = {abs/2310.15166}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.15166}, doi = {10.48550/ARXIV.2310.15166}, eprinttype = {arXiv}, eprint = {2310.15166}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-15166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-16003, author = {Jay Zhangjie Wu and Xiuyu Li and Difei Gao and Zhen Dong and Jinbin Bai and Aishani Singh and Xiaoyu Xiang and Youzeng Li and Zuwei Huang and Yuanxi Sun and Rui He and Feng Hu and Junhua Hu and Hai Huang and Hanyu Zhu and Xu Cheng and Jie Tang and Mike Zheng Shou and Kurt Keutzer and Forrest N. Iandola}, title = {{CVPR} 2023 Text Guided Video Editing Competition}, journal = {CoRR}, volume = {abs/2310.16003}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.16003}, doi = {10.48550/ARXIV.2310.16003}, eprinttype = {arXiv}, eprint = {2310.16003}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-16003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-03285, author = {Ying Sheng and Shiyi Cao and Dacheng Li and Coleman Hooper and Nicholas Lee and Shuo Yang and Christopher Chou and Banghua Zhu and Lianmin Zheng and Kurt Keutzer and Joseph E. Gonzalez and Ion Stoica}, title = {S-LoRA: Serving Thousands of Concurrent LoRA Adapters}, journal = {CoRR}, volume = {abs/2311.03285}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.03285}, doi = {10.48550/ARXIV.2311.03285}, eprinttype = {arXiv}, eprint = {2311.03285}, timestamp = {Wed, 08 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-03285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-06696, author = {Brian Yu and Hansen Lillemark and Kurt Keutzer}, title = {Simple and Effective Input Reformulations for Translation}, journal = {CoRR}, volume = {abs/2311.06696}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.06696}, doi = {10.48550/ARXIV.2311.06696}, eprinttype = {arXiv}, eprint = {2311.06696}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-06696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07620, author = {Chenyu Wang and Zhen Dong and Daquan Zhou and Zhenhua Zhu and Yu Wang and Jiashi Feng and Kurt Keutzer}, title = {{EPIM:} Efficient Processing-In-Memory Accelerators based on Epitome}, journal = {CoRR}, volume = {abs/2311.07620}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07620}, doi = {10.48550/ARXIV.2311.07620}, eprinttype = {arXiv}, eprint = {2311.07620}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07620.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-08562, author = {Lin Xu and Zhiyuan Hu and Daquan Zhou and Hongyu Ren and Zhen Dong and Kurt Keutzer and See{-}Kiong Ng and Jiashi Feng}, title = {MAgIC: Investigation of Large Language Model Powered Multi-Agent in Cognition, Adaptability, Rationality and Collaboration}, journal = {CoRR}, volume = {abs/2311.08562}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.08562}, doi = {10.48550/ARXIV.2311.08562}, eprinttype = {arXiv}, eprint = {2311.08562}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-08562.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04511, author = {Sehoon Kim and Suhong Moon and Ryan Tabrizi and Nicholas Lee and Michael W. Mahoney and Kurt Keutzer and Amir Gholami}, title = {An {LLM} Compiler for Parallel Function Calling}, journal = {CoRR}, volume = {abs/2312.04511}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04511}, doi = {10.48550/ARXIV.2312.04511}, eprinttype = {arXiv}, eprint = {2312.04511}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04511.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09148, author = {Anthony Chen and Huanrui Yang and Yulu Gan and Denis A. Gudovskiy and Zhen Dong and Haofan Wang and Tomoyuki Okuno and Yohei Nakata and Shanghang Zhang and Kurt Keutzer}, title = {Split-Ensemble: Efficient OOD-aware Ensemble via Task and Model Splitting}, journal = {CoRR}, volume = {abs/2312.09148}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09148}, doi = {10.48550/ARXIV.2312.09148}, eprinttype = {arXiv}, eprint = {2312.09148}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12491, author = {Akio Kodaira and Chenfeng Xu and Toshiki Hazama and Takanori Yoshimoto and Kohei Ohno and Shogo Mitsuhori and Soichi Sugano and Hanying Cho and Zhijian Liu and Kurt Keutzer}, title = {StreamDiffusion: {A} Pipeline-level Solution for Real-time Interactive Generation}, journal = {CoRR}, volume = {abs/2312.12491}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12491}, doi = {10.48550/ARXIV.2312.12491}, eprinttype = {arXiv}, eprint = {2312.12491}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12491.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-16610, author = {Rongyu Zhang and Yulin Luo and Jiaming Liu and Huanrui Yang and Zhen Dong and Denis A. Gudovskiy and Tomoyuki Okuno and Yohei Nakata and Kurt Keutzer and Yuan Du and Shanghang Zhang}, title = {Efficient Deweather Mixture-of-Experts with Uncertainty-aware Feature-wise Linear Modulation}, journal = {CoRR}, volume = {abs/2312.16610}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.16610}, doi = {10.48550/ARXIV.2312.16610}, eprinttype = {arXiv}, eprint = {2312.16610}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-16610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ZhaoYYJDCSK22, author = {Sicheng Zhao and Xingxu Yao and Jufeng Yang and Guoli Jia and Guiguang Ding and Tat{-}Seng Chua and Bj{\"{o}}rn W. Schuller and Kurt Keutzer}, title = {Affective Image Content Analysis: Two Decades Review and New Perspectives}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {44}, number = {10}, pages = {6729--6751}, year = {2022}, url = {https://doi.org/10.1109/TPAMI.2021.3094362}, doi = {10.1109/TPAMI.2021.3094362}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/ZhaoYYJDCSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/ZhaoCYLXKYDSK22, author = {Sicheng Zhao and Xuanbai Chen and Xiangyu Yue and Chuang Lin and Pengfei Xu and Ravi Krishna and Jufeng Yang and Guiguang Ding and Alberto L. Sangiovanni{-}Vincentelli and Kurt Keutzer}, title = {Emotional Semantics-Preserved and Feature-Aligned CycleGAN for Visual Emotion Adaptation}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {10}, pages = {10000--10013}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2021.3062750}, doi = {10.1109/TCYB.2021.3062750}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/ZhaoCYLXKYDSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/ZhaoYZLZWKGSSK22, author = {Sicheng Zhao and Xiangyu Yue and Shanghang Zhang and Bo Li and Han Zhao and Bichen Wu and Ravi Krishna and Joseph E. Gonzalez and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Kurt Keutzer}, title = {A Review of Single-Source Deep Unsupervised Visual Domain Adaptation}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {33}, number = {2}, pages = {473--493}, year = {2022}, url = {https://doi.org/10.1109/TNNLS.2020.3028503}, doi = {10.1109/TNNLS.2020.3028503}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/ZhaoYZLZWKGSSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiSWZRLK022, author = {Bo Li and Yifei Shen and Yezhen Wang and Wenzhen Zhu and Colorado Reed and Dongsheng Li and Kurt Keutzer and Han Zhao}, title = {Invariant Information Bottleneck for Domain Generalization}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {7399--7407}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i7.20703}, doi = {10.1609/AAAI.V36I7.20703}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LiSWZRLK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/XuLTSKTFZ22, author = {Chenfeng Xu and Tian Li and Chen Tang and Lingfeng Sun and Kurt Keutzer and Masayoshi Tomizuka and Alireza Fathi and Wei Zhan}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {PreTraM: Self-supervised Pre-training via Connecting Trajectory and Map}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXXIX}}, series = {Lecture Notes in Computer Science}, volume = {13699}, pages = {34--50}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19842-7\_3}, doi = {10.1007/978-3-031-19842-7\_3}, timestamp = {Wed, 26 Oct 2022 09:56:25 +0200}, biburl = {https://dblp.org/rec/conf/eccv/XuLTSKTFZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YuLWZNGOLKZ22, author = {Jinze Yu and Jiaming Liu and Xiaobao Wei and Haoyi Zhou and Yohei Nakata and Denis A. Gudovskiy and Tomoyuki Okuno and Jianxin Li and Kurt Keutzer and Shanghang Zhang}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {MTTrans: Cross-domain Object Detection with Mean Teacher Transformer}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {IX}}, series = {Lecture Notes in Computer Science}, volume = {13669}, pages = {629--645}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20077-9\_37}, doi = {10.1007/978-3-031-20077-9\_37}, timestamp = {Thu, 10 Nov 2022 10:31:49 +0100}, biburl = {https://dblp.org/rec/conf/eccv/YuLWZNGOLKZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/XuYGWYZZVKT22, author = {Chenfeng Xu and Shijia Yang and Tomer Galanti and Bichen Wu and Xiangyu Yue and Bohan Zhai and Wei Zhan and Peter Vajda and Kurt Keutzer and Masayoshi Tomizuka}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Image2Point: 3D Point-Cloud Understanding with 2D Image Pretrained Models}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXXVII}}, series = {Lecture Notes in Computer Science}, volume = {13697}, pages = {638--656}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19836-6\_36}, doi = {10.1007/978-3-031-19836-6\_36}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/XuYGWYZZVKT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimGYLWNZGMK22, author = {Sehoon Kim and Amir Gholami and Zhewei Yao and Nicholas Lee and Patrick Wang and Aniruddha Nrusimha and Bohan Zhai and Tianren Gao and Michael W. Mahoney and Kurt Keutzer}, title = {Integer-Only Zero-Shot Quantization for Efficient Speech Recognition}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {4288--4292}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747552}, doi = {10.1109/ICASSP43922.2022.9747552}, timestamp = {Tue, 07 Jun 2022 17:34:47 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimGYLWNZGMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ShenLTBRCYK22, author = {Sheng Shen and Liunian Harold Li and Hao Tan and Mohit Bansal and Anna Rohrbach and Kai{-}Wei Chang and Zhewei Yao and Kurt Keutzer}, title = {How Much Can {CLIP} Benefit Vision-and-Language Tasks?}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=zf\_Ll3HZWgy}, timestamp = {Fri, 17 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/ShenLTBRCYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ShenWKDPB22, author = {Sheng Shen and Pete Walsh and Kurt Keutzer and Jesse Dodge and Matthew E. Peters and Iz Beltagy}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Staged Training for Transformer Language Models}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {19893--19908}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/shen22f.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/ShenWKDPB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LiuZZLDKDZ22, author = {Minzhe Liu and Qiang Zhou and Hengshuang Zhao and Jianing Li and Yuan Du and Kurt Keutzer and Li Du and Shanghang Zhang}, title = {Prototype-Voxel Contrastive Learning for LiDAR Point Cloud Panoptic Segmentation}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {9243--9250}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811638}, doi = {10.1109/ICRA46639.2022.9811638}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LiuZZLDKDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/LiCDKZ22, author = {Tian Li and Xiang Chen and Zhen Dong and Kurt Keutzer and Shanghang Zhang}, editor = {Luc De Raedt}, title = {Domain-Adaptive Text Classification with Structured Knowledge from Unlabeled Data}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {4216--4222}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/585}, doi = {10.24963/IJCAI.2022/585}, timestamp = {Wed, 27 Jul 2022 16:43:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/LiCDKZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/KimSTGKHK22, author = {Sehoon Kim and Sheng Shen and David Thorsley and Amir Gholami and Woosuk Kwon and Joseph Hassoun and Kurt Keutzer}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {Learned Token Pruning for Transformers}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {784--794}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3539260}, doi = {10.1145/3534678.3539260}, timestamp = {Mon, 28 Aug 2023 21:17:29 +0200}, biburl = {https://dblp.org/rec/conf/kdd/KimSTGKHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimGSLMMMK22, author = {Sehoon Kim and Amir Gholami and Albert E. Shaw and Nicholas Lee and Karttikeya Mangalam and Jitendra Malik and Michael W. Mahoney and Kurt Keutzer}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Squeezeformer: An Efficient Transformer for Automatic Speech Recognition}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/3ccf6da39eeb8fefc8bbb1b0124adbd1-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimGSLMMMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KwonKMHKG22, author = {Woosuk Kwon and Sehoon Kim and Michael W. Mahoney and Joseph Hassoun and Kurt Keutzer and Amir Gholami}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {A Fast Post-Training Pruning Framework for Transformers}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/987bed997ab668f91c822a09bce3ea12-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KwonKMHKG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ShenL0XYZGWY0KD22, author = {Sheng Shen and Chunyuan Li and Xiaowei Hu and Yujia Xie and Jianwei Yang and Pengchuan Zhang and Zhe Gan and Lijuan Wang and Lu Yuan and Ce Liu and Kurt Keutzer and Trevor Darrell and Anna Rohrbach and Jianfeng Gao}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {{K-LITE:} Learning Transferable Visual Models with External Knowledge}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/63fef0802863f47775c3563e18cbba17-Abstract-Conference.html}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ShenL0XYZGWY0KD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ReedYNEVM0ZGMKD22, author = {Colorado J. Reed and Xiangyu Yue and Ani Nrusimha and Sayna Ebrahimi and Vivek Vijaykumar and Richard Mao and Bo Li and Shanghang Zhang and Devin Guillory and Sean Metzger and Kurt Keutzer and Trevor Darrell}, title = {Self-Supervised Pretraining Improves Self-Supervised Pretraining}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {1050--1060}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00112}, doi = {10.1109/WACV51458.2022.00112}, timestamp = {Thu, 17 Feb 2022 14:50:06 +0100}, biburl = {https://dblp.org/rec/conf/wacv/ReedYNEVM0ZGMKD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/YuYGDKMK22, author = {Shixing Yu and Zhewei Yao and Amir Gholami and Zhen Dong and Sehoon Kim and Michael W. Mahoney and Kurt Keutzer}, title = {Hessian-Aware Pruning and Optimal Neural Implant}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {3665--3676}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00372}, doi = {10.1109/WACV51458.2022.00372}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/YuYGDKMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-06211, author = {Sheng Shen and Pete Walsh and Kurt Keutzer and Jesse Dodge and Matthew E. Peters and Iz Beltagy}, title = {Staged Training for Transformer Language Models}, journal = {CoRR}, volume = {abs/2203.06211}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.06211}, doi = {10.48550/ARXIV.2203.06211}, eprinttype = {arXiv}, eprint = {2203.06211}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-06211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09222, author = {Sheng Shen and Chunyuan Li and Xiaowei Hu and Yujia Xie and Jianwei Yang and Pengchuan Zhang and Anna Rohrbach and Zhe Gan and Lijuan Wang and Lu Yuan and Ce Liu and Kurt Keutzer and Trevor Darrell and Jianfeng Gao}, title = {{K-LITE:} Learning Transferable Visual Models with External Knowledge}, journal = {CoRR}, volume = {abs/2204.09222}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09222}, doi = {10.48550/ARXIV.2204.09222}, eprinttype = {arXiv}, eprint = {2204.09222}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09222.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09656, author = {Woosuk Kwon and Sehoon Kim and Michael W. Mahoney and Joseph Hassoun and Kurt Keutzer and Amir Gholami}, title = {A Fast Post-Training Pruning Framework for Transformers}, journal = {CoRR}, volume = {abs/2204.09656}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09656}, doi = {10.48550/ARXIV.2204.09656}, eprinttype = {arXiv}, eprint = {2204.09656}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09656.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-10435, author = {Chenfeng Xu and Tian Li and Chen Tang and Lingfeng Sun and Kurt Keutzer and Masayoshi Tomizuka and Alireza Fathi and Wei Zhan}, title = {PreTraM: Self-Supervised Pre-training via Connecting Trajectory and Map}, journal = {CoRR}, volume = {abs/2204.10435}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.10435}, doi = {10.48550/ARXIV.2204.10435}, eprinttype = {arXiv}, eprint = {2204.10435}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-10435.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01643, author = {Jinze Yu and Jiaming Liu and Xiaobao Wei and Haoyi Zhou and Yohei Nakata and Denis A. Gudovskiy and Tomoyuki Okuno and Jianxin Li and Kurt Keutzer and Shanghang Zhang}, title = {Cross-Domain Object Detection with Mean-Teacher Transformer}, journal = {CoRR}, volume = {abs/2205.01643}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01643}, doi = {10.48550/ARXIV.2205.01643}, eprinttype = {arXiv}, eprint = {2205.01643}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01643.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-02162, author = {Zhen Dong and Kaicheng Zhou and Guohao Li and Qiang Zhou and Mingfei Guo and Bernard Ghanem and Kurt Keutzer and Shanghang Zhang}, title = {UnrealNAS: Can We Search Neural Architectures with Unreal Data?}, journal = {CoRR}, volume = {abs/2205.02162}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.02162}, doi = {10.48550/ARXIV.2205.02162}, eprinttype = {arXiv}, eprint = {2205.02162}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-02162.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-00888, author = {Sehoon Kim and Amir Gholami and Albert E. Shaw and Nicholas Lee and Karttikeya Mangalam and Jitendra Malik and Michael W. Mahoney and Kurt Keutzer}, title = {Squeezeformer: An Efficient Transformer for Automatic Speech Recognition}, journal = {CoRR}, volume = {abs/2206.00888}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.00888}, doi = {10.48550/ARXIV.2206.00888}, eprinttype = {arXiv}, eprint = {2206.00888}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-00888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-09591, author = {Tian Li and Xiang Chen and Zhen Dong and Weijiang Yu and Yijun Yan and Kurt Keutzer and Shanghang Zhang}, title = {Domain-Adaptive Text Classification with Structured Knowledge from Unlabeled Data}, journal = {CoRR}, volume = {abs/2206.09591}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.09591}, doi = {10.48550/ARXIV.2206.09591}, eprinttype = {arXiv}, eprint = {2206.09591}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-09591.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-11404, author = {Peiyuan Liao and Xiuyu Li and Xihui Liu and Kurt Keutzer}, title = {The ArtBench Dataset: Benchmarking Generative Models with Artworks}, journal = {CoRR}, volume = {abs/2206.11404}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.11404}, doi = {10.48550/ARXIV.2206.11404}, eprinttype = {arXiv}, eprint = {2206.11404}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-11404.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-01987, author = {Yuheng Lu and Chenfeng Xu and Xiaobao Wei and Xiaodong Xie and Masayoshi Tomizuka and Kurt Keutzer and Shanghang Zhang}, title = {Open-Vocabulary 3D Detection via Image-level Class and Debiased Cross-modal Contrastive Learning}, journal = {CoRR}, volume = {abs/2207.01987}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.01987}, doi = {10.48550/ARXIV.2207.01987}, eprinttype = {arXiv}, eprint = {2207.01987}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-01987.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-03745, author = {Kevin Miao and Akash Gokul and Raghav Singh and Suzanne Petryk and Joseph Gonzalez and Kurt Keutzer and Trevor Darrell and Colorado Reed}, title = {Prior Knowledge-Guided Attention in Self-Supervised Vision Transformers}, journal = {CoRR}, volume = {abs/2209.03745}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.03745}, doi = {10.48550/ARXIV.2209.03745}, eprinttype = {arXiv}, eprint = {2209.03745}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-03745.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-06383, author = {Lingran Zhao and Zhen Dong and Kurt Keutzer}, title = {Analysis of Quantization on MLP-based Vision Models}, journal = {CoRR}, volume = {abs/2209.06383}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.06383}, doi = {10.48550/ARXIV.2209.06383}, eprinttype = {arXiv}, eprint = {2209.06383}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-06383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-02443, author = {Jinhyung Park and Chenfeng Xu and Shijia Yang and Kurt Keutzer and Kris Kitani and Masayoshi Tomizuka and Wei Zhan}, title = {Time Will Tell: New Outlooks and {A} Baseline for Temporal Multi-View 3D Object Detection}, journal = {CoRR}, volume = {abs/2210.02443}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.02443}, doi = {10.48550/ARXIV.2210.02443}, eprinttype = {arXiv}, eprint = {2210.02443}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-02443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-11720, author = {Sheng Shen and Shijia Yang and Tianjun Zhang and Bohan Zhai and Joseph E. Gonzalez and Kurt Keutzer and Trevor Darrell}, title = {Multitask Vision-Language Prompt Tuning}, journal = {CoRR}, volume = {abs/2211.11720}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.11720}, doi = {10.48550/ARXIV.2211.11720}, eprinttype = {arXiv}, eprint = {2211.11720}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-11720.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-16056, author = {Yijiang Liu and Huanrui Yang and Zhen Dong and Kurt Keutzer and Li Du and Shanghang Zhang}, title = {NoisyQuant: Noisy Bias-Enhanced Post-Training Activation Quantization for Vision Transformers}, journal = {CoRR}, volume = {abs/2211.16056}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.16056}, doi = {10.48550/ARXIV.2211.16056}, eprinttype = {arXiv}, eprint = {2211.16056}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-16056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-02770, author = {Lirui Xiao and Huanrui Yang and Zhen Dong and Kurt Keutzer and Li Du and Shanghang Zhang}, title = {{CSQ:} Growing Mixed-Precision Quantization Scheme with Bi-level Continuous Sparsification}, journal = {CoRR}, volume = {abs/2212.02770}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.02770}, doi = {10.48550/ARXIV.2212.02770}, eprinttype = {arXiv}, eprint = {2212.02770}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-02770.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-14532, author = {Colorado J. Reed and Ritwik Gupta and Shufan Li and Sarah Brockman and Christopher Funk and Brian Clipp and Kurt Keutzer and Salvatore Candido and Matt Uyttendaele and Trevor Darrell}, title = {Scale-MAE: {A} Scale-Aware Masked Autoencoder for Multiscale Geospatial Representation Learning}, journal = {CoRR}, volume = {abs/2212.14532}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.14532}, doi = {10.48550/ARXIV.2212.14532}, eprinttype = {arXiv}, eprint = {2212.14532}, timestamp = {Sun, 08 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-14532.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/ZhaoLXYDK21, author = {Sicheng Zhao and Bo Li and Pengfei Xu and Xiangyu Yue and Guiguang Ding and Kurt Keutzer}, title = {{MADAN:} Multi-source Adversarial Domain Aggregation Network for Domain Adaptation}, journal = {Int. J. Comput. Vis.}, volume = {129}, number = {8}, pages = {2399--2424}, year = {2021}, url = {https://doi.org/10.1007/s11263-021-01479-3}, doi = {10.1007/S11263-021-01479-3}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/ZhaoLXYDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/ZhaoJYDK21, author = {Sicheng Zhao and Guoli Jia and Jufeng Yang and Guiguang Ding and Kurt Keutzer}, title = {Emotion Recognition From Multiple Modalities: Fundamentals and methodologies}, journal = {{IEEE} Signal Process. Mag.}, volume = {38}, number = {6}, pages = {59--73}, year = {2021}, url = {https://doi.org/10.1109/MSP.2021.3106895}, doi = {10.1109/MSP.2021.3106895}, timestamp = {Sun, 31 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/ZhaoJYDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/KrishnaMK21, author = {Ravi Krishna and Norman Mu and Kurt Keutzer}, title = {Applying Text Analytics to the Mind-section Literature of the Tibetan Tradition of the Great Perfection}, journal = {{ACM} Trans. Asian Low Resour. Lang. Inf. Process.}, volume = {20}, number = {2}, pages = {21:1--21:32}, year = {2021}, url = {https://doi.org/10.1145/3392047}, doi = {10.1145/3392047}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/talip/KrishnaMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhaoWLWGXDK21, author = {Sicheng Zhao and Yezhen Wang and Bo Li and Bichen Wu and Yang Gao and Pengfei Xu and Trevor Darrell and Kurt Keutzer}, title = {ePointDA: An End-to-End Simulation-to-Real Domain Adaptation Framework for LiDAR Point Cloud Segmentation}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {3500--3509}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i4.16464}, doi = {10.1609/AAAI.V35I4.16464}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhaoWLWGXDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YaoGSMKM21, author = {Zhewei Yao and Amir Gholami and Sheng Shen and Mustafa Mustafa and Kurt Keutzer and Michael W. Mahoney}, title = {{ADAHESSIAN:} An Adaptive Second Order Optimizer for Machine Learning}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {10665--10673}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i12.17275}, doi = {10.1609/AAAI.V35I12.17275}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YaoGSMKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ShenBMKAK20, author = {Sheng Shen and Alexei Baevski and Ari S. Morcos and Kurt Keutzer and Michael Auli and Douwe Kiela}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Reservoir Transformers}, booktitle = {Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, {ACL/IJCNLP} 2021, (Volume 1: Long Papers), Virtual Event, August 1-6, 2021}, pages = {4294--4309}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.acl-long.331}, doi = {10.18653/V1/2021.ACL-LONG.331}, timestamp = {Mon, 09 Aug 2021 16:25:37 +0200}, biburl = {https://dblp.org/rec/conf/acl/ShenBMKAK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0080WZLKD021, author = {Bo Li and Yezhen Wang and Shanghang Zhang and Dongsheng Li and Kurt Keutzer and Trevor Darrell and Han Zhao}, title = {Learning Invariant Representations and Risks for Semi-Supervised Domain Adaptation}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {1104--1113}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Li\_Learning\_Invariant\_Representations\_and\_Risks\_for\_Semi-Supervised\_Domain\_Adaptation\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.00116}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/0080WZLKD021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ReedMSDK21, author = {Colorado J. Reed and Sean Metzger and Aravind Srinivas and Trevor Darrell and Kurt Keutzer}, title = {SelfAugment: Automatic Augmentation Policies for Self-Supervised Learning}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {2674--2683}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Reed\_SelfAugment\_Automatic\_Augmentation\_Policies\_for\_Self-Supervised\_Learning\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.00270}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ReedMSDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YueZZ0DKS21, author = {Xiangyu Yue and Zangwei Zheng and Shanghang Zhang and Yang Gao and Trevor Darrell and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Prototypical Cross-Domain Self-Supervised Learning for Few-Shot Unsupervised Domain Adaptation}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {13834--13844}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Yue\_Prototypical\_Cross-Domain\_Self-Supervised\_Learning\_for\_Few-Shot\_Unsupervised\_Domain\_Adaptation\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01362}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YueZZ0DKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ShenYKKM21, author = {Sheng Shen and Zhewei Yao and Douwe Kiela and Kurt Keutzer and Michael W. Mahoney}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {What's Hidden in a One-layer Randomly Weighted Transformer?}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {2914--2921}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.231}, doi = {10.18653/V1/2021.EMNLP-MAIN.231}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/ShenYKKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/DongG0WSK21, author = {Zhen Dong and Yizhao Gao and Qijing Huang and John Wawrzynek and Hayden K. H. So and Kurt Keutzer}, title = {{HAO:} Hardware-aware Neural Architecture Optimization for Efficient Inference}, booktitle = {29th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2021, Orlando, FL, USA, May 9-12, 2021}, pages = {50--59}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FCCM51124.2021.00014}, doi = {10.1109/FCCM51124.2021.00014}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/DongG0WSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/0001WDGCLWKW21, author = {Qijing Huang and Dequan Wang and Zhen Dong and Yizhao Gao and Yaohui Cai and Tian Li and Bichen Wu and Kurt Keutzer and John Wawrzynek}, editor = {Lesley Shannon and Michael Adler}, title = {CoDeNet: Efficient Deployment of Input-Adaptive Object Detection on Embedded FPGAs}, booktitle = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021}, pages = {206--216}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3431920.3439295}, doi = {10.1145/3431920.3439295}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/0001WDGCLWKW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LiCZDK21, author = {Tian Li and Xiang Chen and Shanghang Zhang and Zhen Dong and Kurt Keutzer}, title = {Cross-Domain Sentiment Classification with Contrastive Learning and Mutual Information Maximization}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {8203--8207}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9414930}, doi = {10.1109/ICASSP39728.2021.9414930}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LiCZDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/WuXDWZYT0KV21, author = {Bichen Wu and Chenfeng Xu and Xiaoliang Dai and Alvin Wan and Peizhao Zhang and Zhicheng Yan and Masayoshi Tomizuka and Joseph Gonzalez and Kurt Keutzer and Peter Vajda}, title = {Visual Transformers: Where Do Transformers Really Belong in Vision Models?}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {579--589}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00064}, doi = {10.1109/ICCV48922.2021.00064}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/WuXDWZYT0KV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/XiaoR0KD21, author = {Tete Xiao and Colorado J. Reed and Xiaolong Wang and Kurt Keutzer and Trevor Darrell}, title = {Region Similarity Representation Learning}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {10519--10528}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01037}, doi = {10.1109/ICCV48922.2021.01037}, timestamp = {Fri, 11 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/XiaoR0KD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimGYMK21, author = {Sehoon Kim and Amir Gholami and Zhewei Yao and Michael W. Mahoney and Kurt Keutzer}, editor = {Marina Meila and Tong Zhang}, title = {{I-BERT:} Integer-only {BERT} Quantization}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {5506--5518}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/kim21d.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimGYMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/YaoDZGYTW0WMK21, author = {Zhewei Yao and Zhen Dong and Zhangcheng Zheng and Amir Gholami and Jiali Yu and Eric Tan and Leyuan Wang and Qijing Huang and Yida Wang and Michael W. Mahoney and Kurt Keutzer}, editor = {Marina Meila and Tong Zhang}, title = {{HAWQ-V3:} Dyadic Neural Network Quantization}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {11875--11886}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/yao21a.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/YaoDZGYTW0WMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/XuZWLZVKT21, author = {Chenfeng Xu and Bohan Zhai and Bichen Wu and Tian Li and Wei Zhan and Peter Vajda and Kurt Keutzer and Masayoshi Tomizuka}, title = {You Only Group Once: Efficient Point-Cloud Processing with Token Representation and Relation Inference Module}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {4589--4596}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636858}, doi = {10.1109/IROS51168.2021.9636858}, timestamp = {Wed, 22 Dec 2021 12:36:19 +0100}, biburl = {https://dblp.org/rec/conf/iros/XuZWLZVKT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/ZhengYKS21, author = {Zangwei Zheng and Xiangyu Yue and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Wen{-}Huang Cheng and Mohan S. Kankanhalli and Meng Wang and Wei{-}Ta Chu and Jiaying Liu and Marcel Worring}, title = {Scene-aware Learning Network for Radar Object Detection}, booktitle = {{ICMR} '21: International Conference on Multimedia Retrieval, Taipei, Taiwan, August 21-24, 2021}, pages = {573--579}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460426.3463655}, doi = {10.1145/3460426.3463655}, timestamp = {Fri, 03 Sep 2021 13:17:02 +0200}, biburl = {https://dblp.org/rec/conf/mir/ZhengYKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ZouZC0KM21, author = {Yixiong Zou and Shanghang Zhang and Guangyao Chen and Yonghong Tian and Kurt Keutzer and Jos{\'{e}} M. F. Moura}, editor = {Heng Tao Shen and Yueting Zhuang and John R. Smith and Yang Yang and Pablo C{\'{e}}sar and Florian Metze and Balakrishnan Prabhakaran}, title = {Annotation-Efficient Untrimmed Video Action Recognition}, booktitle = {{MM} '21: {ACM} Multimedia Conference, Virtual Event, China, October 20 - 24, 2021}, pages = {487--495}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474085.3475197}, doi = {10.1145/3474085.3475197}, timestamp = {Mon, 22 Apr 2024 21:24:20 +0200}, biburl = {https://dblp.org/rec/conf/mm/ZouZC0KM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ZhangXWWKGT21, author = {Tianjun Zhang and Huazhe Xu and Xiaolong Wang and Yi Wu and Kurt Keutzer and Joseph E. Gonzalez and Yuandong Tian}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {NovelD: {A} Simple yet Effective Exploration Criterion}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {25217--25230}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/d428d070622e0f4363fceae11f4a3576-Abstract.html}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ZhangXWWKGT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ZhaoXGYYK0K21, author = {Sicheng Zhao and Yang Xiao and Jiang Guo and Xiangyu Yue and Jufeng Yang and Ravi Krishna and Pengfei Xu and Kurt Keutzer}, editor = {Jure Leskovec and Marko Grobelnik and Marc Najork and Jie Tang and Leila Zia}, title = {Curriculum CycleGAN for Textual Sentiment Domain Adaptation with Multiple Sources}, booktitle = {{WWW} '21: The Web Conference 2021, Virtual Event / Ljubljana, Slovenia, April 19-23, 2021}, pages = {541--552}, publisher = {{ACM} / {IW3C2}}, year = {2021}, url = {https://doi.org/10.1145/3442381.3449981}, doi = {10.1145/3442381.3449981}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/ZhaoXGYYK0K21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-01321, author = {Sehoon Kim and Amir Gholami and Zhewei Yao and Michael W. Mahoney and Kurt Keutzer}, title = {{I-BERT:} Integer-only {BERT} Quantization}, journal = {CoRR}, volume = {abs/2101.01321}, year = {2021}, url = {https://arxiv.org/abs/2101.01321}, eprinttype = {arXiv}, eprint = {2101.01321}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-01321.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-08940, author = {Shixing Yu and Zhewei Yao and Amir Gholami and Zhen Dong and Michael W. Mahoney and Kurt Keutzer}, title = {Hessian-Aware Pruning and Optimal Neural Implant}, journal = {CoRR}, volume = {abs/2101.08940}, year = {2021}, url = {https://arxiv.org/abs/2101.08940}, eprinttype = {arXiv}, eprint = {2101.08940}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-08940.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-06386, author = {Bernie Wang and Simon Xu and Kurt Keutzer and Yang Gao and Bichen Wu}, title = {Improving Context-Based Meta-Reinforcement Learning with Self-Supervised Trajectory Contrastive Learning}, journal = {CoRR}, volume = {abs/2103.06386}, year = {2021}, url = {https://arxiv.org/abs/2103.06386}, eprinttype = {arXiv}, eprint = {2103.06386}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-06386.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-09975, author = {Chenfeng Xu and Bohan Zhai and Bichen Wu and Tian Li and Wei Zhan and Peter Vajda and Kurt Keutzer and Masayoshi Tomizuka}, title = {You Only Group Once: Efficient Point-Cloud Processing with Token Representation and Relation Inference Module}, journal = {CoRR}, volume = {abs/2103.09975}, year = {2021}, url = {https://arxiv.org/abs/2103.09975}, eprinttype = {arXiv}, eprint = {2103.09975}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-09975.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-12718, author = {Colorado J. Reed and Xiangyu Yue and Ani Nrusimha and Sayna Ebrahimi and Vivek Vijaykumar and Richard Mao and Bo Li and Shanghang Zhang and Devin Guillory and Sean Metzger and Kurt Keutzer and Trevor Darrell}, title = {Self-Supervised Pretraining Improves Self-Supervised Pretraining}, journal = {CoRR}, volume = {abs/2103.12718}, year = {2021}, url = {https://arxiv.org/abs/2103.12718}, eprinttype = {arXiv}, eprint = {2103.12718}, timestamp = {Tue, 06 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-12718.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-12902, author = {Tete Xiao and Colorado J. Reed and Xiaolong Wang and Kurt Keutzer and Trevor Darrell}, title = {Region Similarity Representation Learning}, journal = {CoRR}, volume = {abs/2103.12902}, year = {2021}, url = {https://arxiv.org/abs/2103.12902}, eprinttype = {arXiv}, eprint = {2103.12902}, timestamp = {Tue, 06 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-12902.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-13630, author = {Amir Gholami and Sehoon Kim and Zhen Dong and Zhewei Yao and Michael W. Mahoney and Kurt Keutzer}, title = {A Survey of Quantization Methods for Efficient Neural Network Inference}, journal = {CoRR}, volume = {abs/2103.13630}, year = {2021}, url = {https://arxiv.org/abs/2103.13630}, eprinttype = {arXiv}, eprint = {2103.13630}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-13630.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16765, author = {Xiangyu Yue and Zangwei Zheng and Shanghang Zhang and Yang Gao and Trevor Darrell and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Prototypical Cross-domain Self-supervised Learning for Few-shot Unsupervised Domain Adaptation}, journal = {CoRR}, volume = {abs/2103.16765}, year = {2021}, url = {https://arxiv.org/abs/2103.16765}, eprinttype = {arXiv}, eprint = {2103.16765}, timestamp = {Thu, 08 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16827, author = {Sehoon Kim and Amir Gholami and Zhewei Yao and Aniruddha Nrusimha and Bohan Zhai and Tianren Gao and Michael W. Mahoney and Kurt Keutzer}, title = {{Q-ASR:} Integer-only Zero-shot Quantization for Efficient Speech Recognition}, journal = {CoRR}, volume = {abs/2103.16827}, year = {2021}, url = {https://arxiv.org/abs/2103.16827}, eprinttype = {arXiv}, eprint = {2103.16827}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-12766, author = {Zhen Dong and Yizhao Gao and Qijing Huang and John Wawrzynek and Hayden K. H. So and Kurt Keutzer}, title = {{HAO:} Hardware-aware neural Architecture Optimization for Efficient Inference}, journal = {CoRR}, volume = {abs/2104.12766}, year = {2021}, url = {https://arxiv.org/abs/2104.12766}, eprinttype = {arXiv}, eprint = {2104.12766}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-12766.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-14636, author = {Zhewei Yao and Linjian Ma and Sheng Shen and Kurt Keutzer and Michael W. Mahoney}, title = {MLPruning: {A} Multilevel Structured Pruning Framework for Transformer-based Models}, journal = {CoRR}, volume = {abs/2105.14636}, year = {2021}, url = {https://arxiv.org/abs/2105.14636}, eprinttype = {arXiv}, eprint = {2105.14636}, timestamp = {Wed, 02 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-14636.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-04180, author = {Chenfeng Xu and Shijia Yang and Bohan Zhai and Bichen Wu and Xiangyu Yue and Wei Zhan and Peter Vajda and Kurt Keutzer and Masayoshi Tomizuka}, title = {Image2Point: 3D Point-Cloud Understanding with Pretrained 2D ConvNets}, journal = {CoRR}, volume = {abs/2106.04180}, year = {2021}, url = {https://arxiv.org/abs/2106.04180}, eprinttype = {arXiv}, eprint = {2106.04180}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-04180.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-06333, author = {Bo Li and Yifei Shen and Yezhen Wang and Wenzhen Zhu and Colorado J. Reed and Jun Zhang and Dongsheng Li and Kurt Keutzer and Han Zhao}, title = {Invariant Information Bottleneck for Domain Generalization}, journal = {CoRR}, volume = {abs/2106.06333}, year = {2021}, url = {https://arxiv.org/abs/2106.06333}, eprinttype = {arXiv}, eprint = {2106.06333}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-06333.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-16125, author = {Sicheng Zhao and Xingxu Yao and Jufeng Yang and Guoli Jia and Guiguang Ding and Tat{-}Seng Chua and Bj{\"{o}}rn W. Schuller and Kurt Keutzer}, title = {Affective Image Content Analysis: Two Decades Review and New Perspectives}, journal = {CoRR}, volume = {abs/2106.16125}, year = {2021}, url = {https://arxiv.org/abs/2106.16125}, eprinttype = {arXiv}, eprint = {2106.16125}, timestamp = {Mon, 05 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-16125.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-00910, author = {Sehoon Kim and Sheng Shen and David Thorsley and Amir Gholami and Joseph Hassoun and Kurt Keutzer}, title = {Learned Token Pruning for Transformers}, journal = {CoRR}, volume = {abs/2107.00910}, year = {2021}, url = {https://arxiv.org/abs/2107.00910}, eprinttype = {arXiv}, eprint = {2107.00910}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-00910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-01469, author = {Zangwei Zheng and Xiangyu Yue and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Scene-aware Learning Network for Radar Object Detection}, journal = {CoRR}, volume = {abs/2107.01469}, year = {2021}, url = {https://arxiv.org/abs/2107.01469}, eprinttype = {arXiv}, eprint = {2107.01469}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-01469.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-06383, author = {Sheng Shen and Liunian Harold Li and Hao Tan and Mohit Bansal and Anna Rohrbach and Kai{-}Wei Chang and Zhewei Yao and Kurt Keutzer}, title = {How Much Can {CLIP} Benefit Vision-and-Language Tasks?}, journal = {CoRR}, volume = {abs/2107.06383}, year = {2021}, url = {https://arxiv.org/abs/2107.06383}, eprinttype = {arXiv}, eprint = {2107.06383}, timestamp = {Fri, 17 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-06383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-10152, author = {Sicheng Zhao and Guoli Jia and Jufeng Yang and Guiguang Ding and Kurt Keutzer}, title = {Emotion Recognition from Multiple Modalities: Fundamentals and Methodologies}, journal = {CoRR}, volume = {abs/2108.10152}, year = {2021}, url = {https://arxiv.org/abs/2108.10152}, eprinttype = {arXiv}, eprint = {2108.10152}, timestamp = {Fri, 27 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-10152.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-03939, author = {Sheng Shen and Zhewei Yao and Douwe Kiela and Kurt Keutzer and Michael W. Mahoney}, title = {What's Hidden in a One-layer Randomly Weighted Transformer?}, journal = {CoRR}, volume = {abs/2109.03939}, year = {2021}, url = {https://arxiv.org/abs/2109.03939}, eprinttype = {arXiv}, eprint = {2109.03939}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-03939.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-12391, author = {Xiangyu Yue and Zangwei Zheng and Colorado Reed and Hari Prasanna Das and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Multi-source Few-shot Domain Adaptation}, journal = {CoRR}, volume = {abs/2109.12391}, year = {2021}, url = {https://arxiv.org/abs/2109.12391}, eprinttype = {arXiv}, eprint = {2109.12391}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-12391.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-14812, author = {Ravi Krishna and Aravind Kalaiah and Bichen Wu and Maxim Naumov and Dheevatsa Mudigere and Misha Smelyanskiy and Kurt Keutzer}, title = {Differentiable {NAS} Framework and Application to Ads {CTR} Prediction}, journal = {CoRR}, volume = {abs/2110.14812}, year = {2021}, url = {https://arxiv.org/abs/2110.14812}, eprinttype = {arXiv}, eprint = {2110.14812}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-14812.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/YouHRWHKD20, author = {Yang You and Yuxiong He and Samyam Rajbhandari and Wenhan Wang and Cho{-}Jui Hsieh and Kurt Keutzer and James Demmel}, title = {Fast {LSTM} by dynamic decomposition on cloud and distributed systems}, journal = {Knowl. Inf. Syst.}, volume = {62}, number = {11}, pages = {4169--4197}, year = {2020}, url = {https://doi.org/10.1007/s10115-020-01487-8}, doi = {10.1007/S10115-020-01487-8}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kais/YouHRWHKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhaoMGYXXHCK20, author = {Sicheng Zhao and Yunsheng Ma and Yang Gu and Jufeng Yang and Tengfei Xing and Pengfei Xu and Runbo Hu and Hua Chai and Kurt Keutzer}, title = {An End-to-End Visual-Audio Attention Network for Emotion Recognition in User-Generated Videos}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {303--311}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i01.5364}, doi = {10.1609/AAAI.V34I01.5364}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhaoMGYXXHCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/MaMYYGKM20, author = {Linjian Ma and Gabe Montague and Jiayu Ye and Zhewei Yao and Amir Gholami and Kurt Keutzer and Michael W. Mahoney}, title = {Inefficiency of {K-FAC} for Large Batch Size Training}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {5053--5060}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i04.5946}, doi = {10.1609/AAAI.V34I04.5946}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/MaMYYGKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ShenDYMYGMK20, author = {Sheng Shen and Zhen Dong and Jiayu Ye and Linjian Ma and Zhewei Yao and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {{Q-BERT:} Hessian Based Ultra Low Precision Quantization of {BERT}}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {8815--8821}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i05.6409}, doi = {10.1609/AAAI.V34I05.6409}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ShenDYMYGMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhaoWZGLS0HCK20, author = {Sicheng Zhao and Guangzhi Wang and Shanghang Zhang and Yang Gu and Yaxian Li and Zhichao Song and Pengfei Xu and Runbo Hu and Hua Chai and Kurt Keutzer}, title = {Multi-Source Distilling Domain Adaptation}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {12975--12983}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i07.6997}, doi = {10.1609/AAAI.V34I07.6997}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhaoWZGLS0HCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/YaoGKM20, author = {Zhewei Yao and Amir Gholami and Kurt Keutzer and Michael W. Mahoney}, editor = {Xintao Wu and Chris Jermaine and Li Xiong and Xiaohua Hu and Olivera Kotevska and Siyuan Lu and Weija Xu and Srinivas Aluru and Chengxiang Zhai and Eyhab Al{-}Masri and Zhiyuan Chen and Jeff Saltz}, title = {PyHessian: Neural Networks Through the Lens of the Hessian}, booktitle = {2020 {IEEE} International Conference on Big Data {(IEEE} BigData 2020), Atlanta, GA, USA, December 10-13, 2020}, pages = {581--590}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigData50022.2020.9378171}, doi = {10.1109/BIGDATA50022.2020.9378171}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/YaoGKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiYDGMK20, author = {Yaohui Cai and Zhewei Yao and Zhen Dong and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {ZeroQ: {A} Novel Zero Shot Quantization Framework}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {13166--13175}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Cai\_ZeroQ\_A\_Novel\_Zero\_Shot\_Quantization\_Framework\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.01318}, timestamp = {Tue, 31 Aug 2021 14:00:04 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaiYDGMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/XuWWZVKT20, author = {Chenfeng Xu and Bichen Wu and Zining Wang and Wei Zhan and Peter Vajda and Kurt Keutzer and Masayoshi Tomizuka}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {SqueezeSegV3: Spatially-Adaptive Convolution for Efficient Point-Cloud Segmentation}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXVIII}}, series = {Lecture Notes in Computer Science}, volume = {12373}, pages = {1--19}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58604-1\_1}, doi = {10.1007/978-3-030-58604-1\_1}, timestamp = {Tue, 03 Nov 2020 17:24:59 +0100}, biburl = {https://dblp.org/rec/conf/eccv/XuWWZVKT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/IandolaSKK20, author = {Forrest N. Iandola and Albert E. Shaw and Ravi Krishna and Kurt Keutzer}, editor = {Nafise Sadat Moosavi and Angela Fan and Vered Shwartz and Goran Glavas and Shafiq R. Joty and Alex Wang and Thomas Wolf}, title = {SqueezeBERT: What can computer vision teach {NLP} about efficient neural networks?}, booktitle = {Proceedings of SustaiNLP: Workshop on Simple and Efficient Natural Language Processing, SustaiNLP@EMNLP 2020, Online, November 20, 2020}, pages = {124--135}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.sustainlp-1.17}, doi = {10.18653/V1/2020.SUSTAINLP-1.17}, timestamp = {Thu, 22 Sep 2022 17:53:15 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/IandolaSKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/YouLRHKBSDKH20, author = {Yang You and Jing Li and Sashank J. Reddi and Jonathan Hseu and Sanjiv Kumar and Srinadh Bhojanapalli and Xiaodan Song and James Demmel and Kurt Keutzer and Cho{-}Jui Hsieh}, title = {Large Batch Optimization for Deep Learning: Training {BERT} in 76 minutes}, booktitle = {8th International Conference on Learning Representations, {ICLR} 2020, Addis Ababa, Ethiopia, April 26-30, 2020}, publisher = {OpenReview.net}, year = {2020}, url = {https://openreview.net/forum?id=Syx4wnEtvH}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/YouLRHKBSDKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/LiWSLKKG20, author = {Zhuohan Li and Eric Wallace and Sheng Shen and Kevin Lin and Kurt Keutzer and Dan Klein and Joey Gonzalez}, title = {Train Big, Then Compress: Rethinking Model Size for Efficient Training and Inference of Transformers}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {5958--5968}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/li20m.html}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/LiWSLKKG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ShenYGMK20, author = {Sheng Shen and Zhewei Yao and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {PowerNorm: Rethinking Batch Normalization in Transformers}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {8741--8751}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/shen20e.html}, timestamp = {Tue, 15 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/ShenYGMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsys/0001JNGAKS020, author = {Paras Jain and Ajay Jain and Aniruddha Nrusimha and Amir Gholami and Pieter Abbeel and Kurt Keutzer and Ion Stoica and Joseph Gonzalez}, editor = {Inderjit S. Dhillon and Dimitris S. Papailiopoulos and Vivienne Sze}, title = {Checkmate: Breaking the Memory Wall with Optimal Tensor Rematerialization}, booktitle = {Proceedings of Machine Learning and Systems 2020, MLSys 2020, Austin, TX, USA, March 2-4, 2020}, publisher = {mlsys.org}, year = {2020}, url = {https://proceedings.mlsys.org/book/320.pdf}, timestamp = {Thu, 18 Jun 2020 15:47:18 +0200}, biburl = {https://dblp.org/rec/conf/mlsys/0001JNGAKS020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ZhaoLYN0YK20, author = {Sicheng Zhao and Yaxian Li and Xingxu Yao and Weizhi Nie and Pengfei Xu and Jufeng Yang and Kurt Keutzer}, editor = {Chang Wen Chen and Rita Cucchiara and Xian{-}Sheng Hua and Guo{-}Jun Qi and Elisa Ricci and Zhengyou Zhang and Roger Zimmermann}, title = {Emotion-Based End-to-End Matching Between Image and Music in Valence-Arousal Space}, booktitle = {{MM} '20: The 28th {ACM} International Conference on Multimedia, Virtual Event / Seattle, WA, USA, October 12-16, 2020}, pages = {2945--2954}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394171.3413776}, doi = {10.1145/3394171.3413776}, timestamp = {Thu, 15 Oct 2020 12:15:03 +0200}, biburl = {https://dblp.org/rec/conf/mm/ZhaoLYN0YK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/DongYAGMK20, author = {Zhen Dong and Zhewei Yao and Daiyaan Arfeen and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {{HAWQ-V2:} Hessian Aware trace-Weighted Quantization of Neural Networks}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/d77c703536718b95308130ff2e5cf9ee-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/DongYAGMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YangKYGKGRM20, author = {Yaoqing Yang and Rajiv Khanna and Yaodong Yu and Amir Gholami and Kurt Keutzer and Joseph E. Gonzalez and Kannan Ramchandran and Michael W. Mahoney}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Boundary thickness and robustness in learning models}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/44e76e99b5e194377e955b13fb12f630-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/YangKYGKGRM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-00281, author = {Yaohui Cai and Zhewei Yao and Zhen Dong and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {ZeroQ: {A} Novel Zero Shot Quantization Framework}, journal = {CoRR}, volume = {abs/2001.00281}, year = {2020}, url = {http://arxiv.org/abs/2001.00281}, eprinttype = {arXiv}, eprint = {2001.00281}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-00281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-05685, author = {Bohan Zhai and Tianren Gao and Flora Xue and Daniel Rothchild and Bichen Wu and Joseph E. Gonzalez and Kurt Keutzer}, title = {SqueezeWave: Extremely Lightweight Vocoders for On-device Speech Synthesis}, journal = {CoRR}, volume = {abs/2001.05685}, year = {2020}, url = {https://arxiv.org/abs/2001.05685}, eprinttype = {arXiv}, eprint = {2001.05685}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-05685.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-08357, author = {Qijing Huang and Dequan Wang and Yizhao Gao and Yaohui Cai and Zhen Dong and Bichen Wu and Kurt Keutzer and John Wawrzynek}, title = {Algorithm-hardware Co-design for Deformable Convolution}, journal = {CoRR}, volume = {abs/2002.08357}, year = {2020}, url = {https://arxiv.org/abs/2002.08357}, eprinttype = {arXiv}, eprint = {2002.08357}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-08357.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-11794, author = {Zhuohan Li and Eric Wallace and Sheng Shen and Kevin Lin and Kurt Keutzer and Dan Klein and Joseph E. Gonzalez}, title = {Train Large, Then Compress: Rethinking Model Size for Efficient Training and Inference of Transformers}, journal = {CoRR}, volume = {abs/2002.11794}, year = {2020}, url = {https://arxiv.org/abs/2002.11794}, eprinttype = {arXiv}, eprint = {2002.11794}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-11794.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-12169, author = {Sicheng Zhao and Bo Li and Colorado Reed and Pengfei Xu and Kurt Keutzer}, title = {Multi-source Domain Adaptation in the Deep Learning Era: {A} Systematic Survey}, journal = {CoRR}, volume = {abs/2002.12169}, year = {2020}, url = {https://arxiv.org/abs/2002.12169}, eprinttype = {arXiv}, eprint = {2002.12169}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-12169.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-00820, author = {Sicheng Zhao and Bo Li and Xiangyu Yue and Pengfei Xu and Kurt Keutzer}, title = {{MADAN:} Multi-source Adversarial Domain Aggregation Network for Domain Adaptation}, journal = {CoRR}, volume = {abs/2003.00820}, year = {2020}, url = {https://arxiv.org/abs/2003.00820}, eprinttype = {arXiv}, eprint = {2003.00820}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-00820.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-00832, author = {Sicheng Zhao and Yunsheng Ma and Yang Gu and Jufeng Yang and Tengfei Xing and Pengfei Xu and Runbo Hu and Hua Chai and Kurt Keutzer}, title = {An End-to-End Visual-Audio Attention Network for Emotion Recognition in User-Generated Videos}, journal = {CoRR}, volume = {abs/2003.00832}, year = {2020}, url = {https://arxiv.org/abs/2003.00832}, eprinttype = {arXiv}, eprint = {2003.00832}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-00832.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-07845, author = {Sheng Shen and Zhewei Yao and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {Rethinking Batch Normalization in Transformers}, journal = {CoRR}, volume = {abs/2003.07845}, year = {2020}, url = {https://arxiv.org/abs/2003.07845}, eprinttype = {arXiv}, eprint = {2003.07845}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-07845.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-01803, author = {Chenfeng Xu and Bichen Wu and Zining Wang and Wei Zhan and Peter Vajda and Kurt Keutzer and Masayoshi Tomizuka}, title = {SqueezeSegV3: Spatially-Adaptive Convolution for Efficient Point-Cloud Segmentation}, journal = {CoRR}, volume = {abs/2004.01803}, year = {2020}, url = {https://arxiv.org/abs/2004.01803}, eprinttype = {arXiv}, eprint = {2004.01803}, timestamp = {Wed, 08 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-01803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-00719, author = {Zhewei Yao and Amir Gholami and Sheng Shen and Kurt Keutzer and Michael W. Mahoney}, title = {{ADAHESSIAN:} An Adaptive Second Order Optimizer for Machine Learning}, journal = {CoRR}, volume = {abs/2006.00719}, year = {2020}, url = {https://arxiv.org/abs/2006.00719}, eprinttype = {arXiv}, eprint = {2006.00719}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-00719.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-03677, author = {Bichen Wu and Chenfeng Xu and Xiaoliang Dai and Alvin Wan and Peizhao Zhang and Masayoshi Tomizuka and Kurt Keutzer and Peter Vajda}, title = {Visual Transformers: Token-based Image Representation and Processing for Computer Vision}, journal = {CoRR}, volume = {abs/2006.03677}, year = {2020}, url = {https://arxiv.org/abs/2006.03677}, eprinttype = {arXiv}, eprint = {2006.03677}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-03677.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-08357, author = {Zhen Dong and Dequan Wang and Qijing Huang and Yizhao Gao and Yaohui Cai and Bichen Wu and Kurt Keutzer and John Wawrzynek}, title = {CoDeNet: Algorithm-hardware Co-design for Deformable Convolution}, journal = {CoRR}, volume = {abs/2006.08357}, year = {2020}, url = {https://arxiv.org/abs/2006.08357}, eprinttype = {arXiv}, eprint = {2006.08357}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-08357.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-11316, author = {Forrest N. Iandola and Albert E. Shaw and Ravi Krishna and Kurt Keutzer}, title = {SqueezeBERT: What can computer vision teach {NLP} about efficient neural networks?}, journal = {CoRR}, volume = {abs/2006.11316}, year = {2020}, url = {https://arxiv.org/abs/2006.11316}, eprinttype = {arXiv}, eprint = {2006.11316}, timestamp = {Tue, 23 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-11316.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-13352, author = {Bo Li and Yezhen Wang and Tong Che and Shanghang Zhang and Sicheng Zhao and Pengfei Xu and Wei Zhou and Yoshua Bengio and Kurt Keutzer}, title = {Rethinking Distributional Matching Based Domain Adaptation}, journal = {CoRR}, volume = {abs/2006.13352}, year = {2020}, url = {https://arxiv.org/abs/2006.13352}, eprinttype = {arXiv}, eprint = {2006.13352}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-13352.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-05086, author = {Yaoqing Yang and Rajiv Khanna and Yaodong Yu and Amir Gholami and Kurt Keutzer and Joseph E. Gonzalez and Kannan Ramchandran and Michael W. Mahoney}, title = {Boundary thickness and robustness in learning models}, journal = {CoRR}, volume = {abs/2007.05086}, year = {2020}, url = {https://arxiv.org/abs/2007.05086}, eprinttype = {arXiv}, eprint = {2007.05086}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-05086.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-00155, author = {Sicheng Zhao and Xiangyu Yue and Shanghang Zhang and Bo Li and Han Zhao and Bichen Wu and Ravi Krishna and Joseph E. Gonzalez and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and Kurt Keutzer}, title = {A Review of Single-Source Deep Unsupervised Visual Domain Adaptation}, journal = {CoRR}, volume = {abs/2009.00155}, year = {2020}, url = {https://arxiv.org/abs/2009.00155}, eprinttype = {arXiv}, eprint = {2009.00155}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-00155.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-03456, author = {Sicheng Zhao and Yezhen Wang and Bo Li and Bichen Wu and Yang Gao and Pengfei Xu and Trevor Darrell and Kurt Keutzer}, title = {ePointDA: An End-to-End Simulation-to-Real Domain Adaptation Framework for LiDAR Point Cloud Segmentation}, journal = {CoRR}, volume = {abs/2009.03456}, year = {2020}, url = {https://arxiv.org/abs/2009.03456}, eprinttype = {arXiv}, eprint = {2009.03456}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-03456.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-05103, author = {Sicheng Zhao and Yaxian Li and Xingxu Yao and Weizhi Nie and Pengfei Xu and Jufeng Yang and Kurt Keutzer}, title = {Emotion-Based End-to-End Matching Between Image and Music in Valence-Arousal Space}, journal = {CoRR}, volume = {abs/2009.05103}, year = {2020}, url = {https://arxiv.org/abs/2009.05103}, eprinttype = {arXiv}, eprint = {2009.05103}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-05103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-07724, author = {Colorado Reed and Sean Metzger and Aravind Srinivas and Trevor Darrell and Kurt Keutzer}, title = {Evaluating Self-Supervised Pretraining Without Using Labels}, journal = {CoRR}, volume = {abs/2009.07724}, year = {2020}, url = {https://arxiv.org/abs/2009.07724}, eprinttype = {arXiv}, eprint = {2009.07724}, timestamp = {Wed, 23 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-07724.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-04647, author = {Bo Li and Yezhen Wang and Shanghang Zhang and Dongsheng Li and Trevor Darrell and Kurt Keutzer and Han Zhao}, title = {Learning Invariant Representations and Risks for Semi-supervised Domain Adaptation}, journal = {CoRR}, volume = {abs/2010.04647}, year = {2020}, url = {https://arxiv.org/abs/2010.04647}, eprinttype = {arXiv}, eprint = {2010.04647}, timestamp = {Wed, 03 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-04647.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-08531, author = {Tianjun Zhang and Huazhe Xu and Xiaolong Wang and Yi Wu and Kurt Keutzer and Joseph E. Gonzalez and Yuandong Tian}, title = {Multi-Agent Collaboration via Reward Attribution Decomposition}, journal = {CoRR}, volume = {abs/2010.08531}, year = {2020}, url = {https://arxiv.org/abs/2010.08531}, eprinttype = {arXiv}, eprint = {2010.08531}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-08531.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-16088, author = {Tian Li and Xiang Chen and Shanghang Zhang and Zhen Dong and Kurt Keutzer}, title = {Cross-Domain Sentiment Classification With Contrastive Learning and Mutual Information Maximization}, journal = {CoRR}, volume = {abs/2010.16088}, year = {2020}, url = {https://arxiv.org/abs/2010.16088}, eprinttype = {arXiv}, eprint = {2010.16088}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-16088.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-08678, author = {Sicheng Zhao and Yang Xiao and Jiang Guo and Xiangyu Yue and Jufeng Yang and Ravi Krishna and Pengfei Xu and Kurt Keutzer}, title = {Curriculum CycleGAN for Textual Sentiment Domain Adaptation with Multiple Sources}, journal = {CoRR}, volume = {abs/2011.08678}, year = {2020}, url = {https://arxiv.org/abs/2011.08678}, eprinttype = {arXiv}, eprint = {2011.08678}, timestamp = {Thu, 19 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-08678.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-10680, author = {Zhewei Yao and Zhen Dong and Zhangcheng Zheng and Amir Gholami and Jiali Yu and Eric Tan and Leyuan Wang and Qijing Huang and Yida Wang and Michael W. Mahoney and Kurt Keutzer}, title = {{HAWQV3:} Dyadic Neural Network Quantization}, journal = {CoRR}, volume = {abs/2011.10680}, year = {2020}, url = {https://arxiv.org/abs/2011.10680}, eprinttype = {arXiv}, eprint = {2011.10680}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-10680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-12470, author = {Sicheng Zhao and Xuanbai Chen and Xiangyu Yue and Chuang Lin and Pengfei Xu and Ravi Krishna and Jufeng Yang and Guiguang Ding and Alberto L. Sangiovanni{-}Vincentelli and Kurt Keutzer}, title = {Emotional Semantics-Preserved and Feature-Aligned CycleGAN for Visual Emotion Adaptation}, journal = {CoRR}, volume = {abs/2011.12470}, year = {2020}, url = {https://arxiv.org/abs/2011.12470}, eprinttype = {arXiv}, eprint = {2011.12470}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-12470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-12985, author = {Bichen Wu and Qing He and Peizhao Zhang and Thilo K{\"{o}}hler and Kurt Keutzer and Peter Vajda}, title = {FBWave: Efficient and Scalable Neural Vocoders for Streaming Text-To-Speech on the Edge}, journal = {CoRR}, volume = {abs/2011.12985}, year = {2020}, url = {https://arxiv.org/abs/2011.12985}, eprinttype = {arXiv}, eprint = {2011.12985}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-12985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-14478, author = {Yixiong Zou and Shanghang Zhang and Guangyao Chen and Yonghong Tian and Kurt Keutzer and Jos{\'{e}} M. F. Moura}, title = {Annotation-Efficient Untrimmed Video Action Recognition}, journal = {CoRR}, volume = {abs/2011.14478}, year = {2020}, url = {https://arxiv.org/abs/2011.14478}, eprinttype = {arXiv}, eprint = {2011.14478}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-14478.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-02943, author = {Tian Li and Xiang Chen and Shanghang Zhang and Zhen Dong and Kurt Keutzer}, title = {Cross-Domain Sentiment Classification with In-Domain Contrastive Learning}, journal = {CoRR}, volume = {abs/2012.02943}, year = {2020}, url = {https://arxiv.org/abs/2012.02943}, eprinttype = {arXiv}, eprint = {2012.02943}, timestamp = {Wed, 09 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-02943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-08621, author = {Tianjun Zhang and Huazhe Xu and Xiaolong Wang and Yi Wu and Kurt Keutzer and Joseph E. Gonzalez and Yuandong Tian}, title = {BeBold: Exploration Beyond the Boundary of Explored Regions}, journal = {CoRR}, volume = {abs/2012.08621}, year = {2020}, url = {https://arxiv.org/abs/2012.08621}, eprinttype = {arXiv}, eprint = {2012.08621}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-08621.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-15045, author = {Sheng Shen and Alexei Baevski and Ari S. Morcos and Kurt Keutzer and Michael Auli and Douwe Kiela}, title = {Reservoir Transformer}, journal = {CoRR}, volume = {abs/2012.15045}, year = {2020}, url = {https://arxiv.org/abs/2012.15045}, eprinttype = {arXiv}, eprint = {2012.15045}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-15045.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/AmidKGWAK19, author = {Alon Amid and Kiseok Kwon and Amir Gholami and Bichen Wu and Krste Asanovic and Kurt Keutzer}, title = {Co-design of deep neural nets and neural net accelerators for embedded vision applications}, journal = {{IBM} J. Res. Dev.}, volume = {63}, number = {6}, pages = {6:1--6:14}, year = {2019}, url = {https://doi.org/10.1147/JRD.2019.2942284}, doi = {10.1147/JRD.2019.2942284}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/AmidKGWAK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/ZhaoGDGHK19, author = {Sicheng Zhao and Amir Gholaminejad and Guiguang Ding and Yue Gao and Jungong Han and Kurt Keutzer}, title = {Personalized Emotion Recognition by Personality-Aware High-Order Learning of Physiological Signals}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {15}, number = {1s}, pages = {14:1--14:18}, year = {2019}, url = {https://doi.org/10.1145/3233184}, doi = {10.1145/3233184}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/ZhaoGDGHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/YouZHDK19, author = {Yang You and Zhao Zhang and Cho{-}Jui Hsieh and James Demmel and Kurt Keutzer}, title = {Fast Deep Neural Network Training on Distributed Systems and Cloud TPUs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {30}, number = {11}, pages = {2449--2462}, year = {2019}, url = {https://doi.org/10.1109/TPDS.2019.2913833}, doi = {10.1109/TPDS.2019.2913833}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/YouZHDK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhaoLXZGKDK19, author = {Sicheng Zhao and Chuang Lin and Pengfei Xu and Sendong Zhao and Yuchen Guo and Ravi Krishna and Guiguang Ding and Kurt Keutzer}, title = {CycleEmotionGAN: Emotional Semantic Consistency Preserved CycleGAN for Adapting Image Emotions}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {2620--2627}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33012620}, doi = {10.1609/AAAI.V33I01.33012620}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhaoLXZGKDK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WuDZWSWTVJK19, author = {Bichen Wu and Xiaoliang Dai and Peizhao Zhang and Yanghan Wang and Fei Sun and Yiming Wu and Yuandong Tian and Peter Vajda and Yangqing Jia and Kurt Keutzer}, title = {FBNet: Hardware-Aware Efficient ConvNet Design via Differentiable Neural Architecture Search}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {10734--10742}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Wu\_FBNet\_Hardware-Aware\_Efficient\_ConvNet\_Design\_via\_Differentiable\_Neural\_Architecture\_Search\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.01099}, timestamp = {Mon, 30 Aug 2021 17:01:14 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WuDZWSWTVJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YaoGXKM19, author = {Zhewei Yao and Amir Gholami and Peng Xu and Kurt Keutzer and Michael W. Mahoney}, title = {Trust Region Based Adversarial Attack on Neural Networks}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {11350--11359}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Yao\_Trust\_Region\_Based\_Adversarial\_Attack\_on\_Neural\_Networks\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.01161}, timestamp = {Mon, 20 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/YaoGXKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/YangHWZ0GBLVWK19, author = {Yifan Yang and Qijing Huang and Bichen Wu and Tianjun Zhang and Liang Ma and Giulio Gambardella and Michaela Blott and Luciano Lavagno and Kees A. Vissers and John Wawrzynek and Kurt Keutzer}, editor = {Kia Bazargan and Stephen Neuendorffer}, title = {Synetgy: Algorithm-hardware Co-design for ConvNet Accelerators on Embedded FPGAs}, booktitle = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, pages = {23--32}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3289602.3293902}, doi = {10.1145/3289602.3293902}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/YangHWZ0GBLVWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/DongYGMK19, author = {Zhen Dong and Zhewei Yao and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {{HAWQ:} Hessian AWare Quantization of Neural Networks With Mixed-Precision}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {293--302}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00038}, doi = {10.1109/ICCV.2019.00038}, timestamp = {Thu, 05 Mar 2020 10:01:04 +0100}, biburl = {https://dblp.org/rec/conf/iccv/DongYGMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/YueZZSKG19, author = {Xiangyu Yue and Yang Zhang and Sicheng Zhao and Alberto L. Sangiovanni{-}Vincentelli and Kurt Keutzer and Boqing Gong}, title = {Domain Randomization and Pyramid Consistency: Simulation-to-Real Generalization Without Accessing Target Domain Data}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {2100--2110}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00219}, doi = {10.1109/ICCV.2019.00219}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/YueZZSKG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/YouHRWHKD19, author = {Yang You and Yuxiong He and Samyam Rajbhandari and Wenhan Wang and Cho{-}Jui Hsieh and Kurt Keutzer and James Demmel}, editor = {Jianyong Wang and Kyuseok Shim and Xindong Wu}, title = {Fast {LSTM} Inference by Dynamic Decomposition on Cloud Systems}, booktitle = {2019 {IEEE} International Conference on Data Mining, {ICDM} 2019, Beijing, China, November 8-11, 2019}, pages = {748--757}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDM.2019.00085}, doi = {10.1109/ICDM.2019.00085}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/YouHRWHKD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/WuZZYK19, author = {Bichen Wu and Xuanyu Zhou and Sicheng Zhao and Xiangyu Yue and Kurt Keutzer}, title = {SqueezeSegV2: Improved Model Structure and Unsupervised Domain Adaptation for Road-Object Segmentation from a LiDAR Point Cloud}, booktitle = {International Conference on Robotics and Automation, {ICRA} 2019, Montreal, QC, Canada, May 20-24, 2019}, pages = {4376--4382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICRA.2019.8793495}, doi = {10.1109/ICRA.2019.8793495}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/WuZZYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/GholaminejadKB19, author = {Amir Gholaminejad and Kurt Keutzer and George Biros}, editor = {Sarit Kraus}, title = {{ANODE:} Unconditionally Accurate Memory-Efficient Gradients for Neural ODEs}, booktitle = {Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, {IJCAI} 2019, Macao, China, August 10-16, 2019}, pages = {730--736}, publisher = {ijcai.org}, year = {2019}, url = {https://doi.org/10.24963/ijcai.2019/103}, doi = {10.24963/IJCAI.2019/103}, timestamp = {Tue, 20 Aug 2019 16:18:18 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/GholaminejadKB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/WangWWK19, author = {Bernie Wang and Virginia Wu and Bichen Wu and Kurt Keutzer}, title = {{LATTE:} Accelerating LiDAR Point Cloud Annotation via Sensor Fusion, One-Click Annotation, and Tracking}, booktitle = {2019 {IEEE} Intelligent Transportation Systems Conference, {ITSC} 2019, Auckland, New Zealand, October 27-30, 2019}, pages = {265--272}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITSC.2019.8916980}, doi = {10.1109/ITSC.2019.8916980}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/WangWWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ZhaoJCLDK19, author = {Sicheng Zhao and Zizhou Jia and Hui Chen and Leida Li and Guiguang Ding and Kurt Keutzer}, editor = {Laurent Amsaleg and Benoit Huet and Martha A. Larson and Guillaume Gravier and Hayley Hung and Chong{-}Wah Ngo and Wei Tsang Ooi}, title = {PDANet: Polarity-consistent Deep Attention Network for Fine-grained Visual Emotion Regression}, booktitle = {Proceedings of the 27th {ACM} International Conference on Multimedia, {MM} 2019, Nice, France, October 21-25, 2019}, pages = {192--201}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3343031.3351062}, doi = {10.1145/3343031.3351062}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/ZhaoJCLDK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/0001WGCDWKW19, author = {Qijing Huang and Dequan Wang and Yizhao Gao and Yaohui Cai and Zhen Dong and Bichen Wu and Kurt Keutzer and John Wawrzynek}, title = {Algorithm-hardware Co-design for Deformable Convolution}, booktitle = {Fifth Workshop on Energy Efficient Machine Learning and Cognitive Computing - NeurIPS Edition, EMC2@NeurIPS 2019, Vancouver, Canada, December 13, 2019}, pages = {48--51}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMC2-NIPS53020.2019.00019}, doi = {10.1109/EMC2-NIPS53020.2019.00019}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/0001WGCDWKW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ZhangYGGKMB19, author = {Tianjun Zhang and Zhewei Yao and Amir Gholami and Joseph E. Gonzalez and Kurt Keutzer and Michael W. Mahoney and George Biros}, editor = {Hanna M. Wallach and Hugo Larochelle and Alina Beygelzimer and Florence d'Alch{\'{e}}{-}Buc and Emily B. Fox and Roman Garnett}, title = {{ANODEV2:} {A} Coupled Neural {ODE} Framework}, booktitle = {Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, NeurIPS 2019, December 8-14, 2019, Vancouver, BC, Canada}, pages = {5152--5162}, year = {2019}, url = {https://proceedings.neurips.cc/paper/2019/hash/227f6afd3b7f89b96c4bb91f95d50f6d-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/ZhangYGGKMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ZhaoLYG0HCK19, author = {Sicheng Zhao and Bo Li and Xiangyu Yue and Yang Gu and Pengfei Xu and Runbo Hu and Hua Chai and Kurt Keutzer}, editor = {Hanna M. Wallach and Hugo Larochelle and Alina Beygelzimer and Florence d'Alch{\'{e}}{-}Buc and Emily B. Fox and Roman Garnett}, title = {Multi-source Domain Adaptation for Semantic Segmentation}, booktitle = {Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, NeurIPS 2019, December 8-14, 2019, Vancouver, BC, Canada}, pages = {7285--7298}, year = {2019}, url = {https://proceedings.neurips.cc/paper/2019/hash/db9ad56c71619aeed9723314d1456037-Abstract.html}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ZhaoLYG0HCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/YouHYDKH19, author = {Yang You and Jonathan Hseu and Chris Ying and James Demmel and Kurt Keutzer and Cho{-}Jui Hsieh}, editor = {Michela Taufer and Pavan Balaji and Antonio J. Pe{\~{n}}a}, title = {Large-batch training for {LSTM} and beyond}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2019, Denver, Colorado, USA, November 17-19, 2019}, pages = {9:1--9:16}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3295500.3356137}, doi = {10.1145/3295500.3356137}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/YouHYDKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-08256, author = {Yang You and Jonathan Hseu and Chris Ying and James Demmel and Kurt Keutzer and Cho{-}Jui Hsieh}, title = {Large-Batch Training for {LSTM} and Beyond}, journal = {CoRR}, volume = {abs/1901.08256}, year = {2019}, url = {http://arxiv.org/abs/1901.08256}, eprinttype = {arXiv}, eprint = {1901.08256}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-08256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-10298, author = {Amir Gholami and Kurt Keutzer and George Biros}, title = {{ANODE:} Unconditionally Accurate Memory-Efficient Gradients for Neural ODEs}, journal = {CoRR}, volume = {abs/1902.10298}, year = {2019}, url = {http://arxiv.org/abs/1902.10298}, eprinttype = {arXiv}, eprint = {1902.10298}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-10298.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-06237, author = {Linjian Ma and Gabe Montague and Jiayu Ye and Zhewei Yao and Amir Gholami and Kurt Keutzer and Michael W. Mahoney}, title = {Inefficiency of {K-FAC} for Large Batch Size Training}, journal = {CoRR}, volume = {abs/1903.06237}, year = {2019}, url = {http://arxiv.org/abs/1903.06237}, eprinttype = {arXiv}, eprint = {1903.06237}, timestamp = {Mon, 01 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-06237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-09085, author = {Bernie Wang and Virginia Wu and Bichen Wu and Kurt Keutzer}, title = {{LATTE:} Accelerating LiDAR Point Cloud Annotation via Sensor Fusion, One-Click Annotation, and Tracking}, journal = {CoRR}, volume = {abs/1904.09085}, year = {2019}, url = {http://arxiv.org/abs/1904.09085}, eprinttype = {arXiv}, eprint = {1904.09085}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-09085.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-03696, author = {Zhen Dong and Zhewei Yao and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {{HAWQ:} Hessian AWare Quantization of Neural Networks with Mixed-Precision}, journal = {CoRR}, volume = {abs/1905.03696}, year = {2019}, url = {http://arxiv.org/abs/1905.03696}, eprinttype = {arXiv}, eprint = {1905.03696}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-03696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-04596, author = {Tianjun Zhang and Zhewei Yao and Amir Gholami and Kurt Keutzer and Joseph Gonzalez and George Biros and Michael W. Mahoney}, title = {{ANODEV2:} {A} Coupled Neural {ODE} Evolution Framework}, journal = {CoRR}, volume = {abs/1906.04596}, year = {2019}, url = {http://arxiv.org/abs/1906.04596}, eprinttype = {arXiv}, eprint = {1906.04596}, timestamp = {Fri, 01 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-04596.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-00889, author = {Xiangyu Yue and Yang Zhang and Sicheng Zhao and Alberto L. Sangiovanni{-}Vincentelli and Kurt Keutzer and Boqing Gong}, title = {Domain Randomization and Pyramid Consistency: Simulation-to-Real Generalization without Accessing Target Domain Data}, journal = {CoRR}, volume = {abs/1909.00889}, year = {2019}, url = {http://arxiv.org/abs/1909.00889}, eprinttype = {arXiv}, eprint = {1909.00889}, timestamp = {Tue, 17 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-00889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-05693, author = {Sicheng Zhao and Zizhou Jia and Hui Chen and Leida Li and Guiguang Ding and Kurt Keutzer}, title = {PDANet: Polarity-consistent Deep Attention Network for Fine-grained Visual Emotion Regression}, journal = {CoRR}, volume = {abs/1909.05693}, year = {2019}, url = {http://arxiv.org/abs/1909.05693}, eprinttype = {arXiv}, eprint = {1909.05693}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-05693.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-05840, author = {Sheng Shen and Zhen Dong and Jiayu Ye and Linjian Ma and Zhewei Yao and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {{Q-BERT:} Hessian Based Ultra Low Precision Quantization of {BERT}}, journal = {CoRR}, volume = {abs/1909.05840}, year = {2019}, url = {http://arxiv.org/abs/1909.05840}, eprinttype = {arXiv}, eprint = {1909.05840}, timestamp = {Wed, 18 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-05840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-02653, author = {Paras Jain and Ajay Jain and Aniruddha Nrusimha and Amir Gholami and Pieter Abbeel and Kurt Keutzer and Ion Stoica and Joseph E. Gonzalez}, title = {Checkmate: Breaking the Memory Wall with Optimal Tensor Rematerialization}, journal = {CoRR}, volume = {abs/1910.02653}, year = {2019}, url = {http://arxiv.org/abs/1910.02653}, eprinttype = {arXiv}, eprint = {1910.02653}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-02653.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-12181, author = {Sicheng Zhao and Bo Li and Xiangyu Yue and Yang Gu and Pengfei Xu and Runbo Hu and Hua Chai and Kurt Keutzer}, title = {Multi-source Domain Adaptation for Semantic Segmentation}, journal = {CoRR}, volume = {abs/1910.12181}, year = {2019}, url = {http://arxiv.org/abs/1910.12181}, eprinttype = {arXiv}, eprint = {1910.12181}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-12181.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-03852, author = {Zhen Dong and Zhewei Yao and Yaohui Cai and Daiyaan Arfeen and Amir Gholami and Michael W. Mahoney and Kurt Keutzer}, title = {{HAWQ-V2:} Hessian Aware trace-Weighted Quantization of Neural Networks}, journal = {CoRR}, volume = {abs/1911.03852}, year = {2019}, url = {http://arxiv.org/abs/1911.03852}, eprinttype = {arXiv}, eprint = {1911.03852}, timestamp = {Sun, 01 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-03852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-11554, author = {Sicheng Zhao and Guangzhi Wang and Shanghang Zhang and Yang Gu and Yaxian Li and Zhichao Song and Pengfei Xu and Runbo Hu and Hua Chai and Kurt Keutzer}, title = {Multi-source Distilling Domain Adaptation}, journal = {CoRR}, volume = {abs/1911.11554}, year = {2019}, url = {http://arxiv.org/abs/1911.11554}, eprinttype = {arXiv}, eprint = {1911.11554}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-11554.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-13237, author = {Tianyuan Zhang and Bichen Wu and Xin Wang and Joseph Gonzalez and Kurt Keutzer}, title = {Domain-Aware Dynamic Networks}, journal = {CoRR}, volume = {abs/1911.13237}, year = {2019}, url = {http://arxiv.org/abs/1911.13237}, eprinttype = {arXiv}, eprint = {1911.13237}, timestamp = {Thu, 30 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-13237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-07145, author = {Zhewei Yao and Amir Gholami and Kurt Keutzer and Michael W. Mahoney}, title = {PyHessian: Neural Networks Through the Lens of the Hessian}, journal = {CoRR}, volume = {abs/1912.07145}, year = {2019}, url = {http://arxiv.org/abs/1912.07145}, eprinttype = {arXiv}, eprint = {1912.07145}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-07145.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HuEEHKKT18, author = {Xiaobo Sharon Hu and Rolf Ernst and Petru Eles and Gernot Heiser and Kurt Keutzer and Daehyun Kim and Tetsuya Tohdo}, title = {Roundtable: Machine Learning for Embedded Systems: Hype or Lasting Impact?}, journal = {{IEEE} Des. Test}, volume = {35}, number = {6}, pages = {86--93}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2018.2869988}, doi = {10.1109/MDAT.2018.2869988}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/HuEEHKKT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GholamiKWTYJZK18, author = {Amir Gholami and Kiseok Kwon and Bichen Wu and Zizheng Tai and Xiangyu Yue and Peter H. Jin and Sicheng Zhao and Kurt Keutzer}, title = {SqueezeNext: Hardware-Aware Neural Network Design}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {1638--1647}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018\_workshops/w33/html/Gholami\_SqueezeNext\_Hardware-Aware\_Neural\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPRW.2018.00215}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/GholamiKWTYJZK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WuWYJZGGGK18, author = {Bichen Wu and Alvin Wan and Xiangyu Yue and Peter H. Jin and Sicheng Zhao and Noah Golmant and Amir Gholaminejad and Joseph Gonzalez and Kurt Keutzer}, title = {Shift: {A} Zero FLOP, Zero Parameter Alternative to Spatial Convolutions}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {9127--9135}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Wu\_Shift\_A\_Zero\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00951}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/WuWYJZGGGK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KwonAGWAK18, author = {Kiseok Kwon and Alon Amid and Amir Gholami and Bichen Wu and Krste Asanovic and Kurt Keutzer}, title = {Co-design of deep neural nets and neural net accelerators for embedded vision applications}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {148:1--148:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3199849}, doi = {10.1145/3195970.3199849}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KwonAGWAK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/JinGK18, author = {Peter H. Jin and Boris Ginsburg and Kurt Keutzer}, title = {Spatially Parallel Convolutions}, booktitle = {6th International Conference on Learning Representations, {ICLR} 2018, Vancouver, BC, Canada, April 30 - May 3, 2018, Workshop Track Proceedings}, publisher = {OpenReview.net}, year = {2018}, url = {https://openreview.net/forum?id=S1Yt0d1vG}, timestamp = {Thu, 04 Apr 2019 13:20:09 +0200}, biburl = {https://dblp.org/rec/conf/iclr/JinGK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/JinLK18, author = {Peter H. Jin and Sergey Levine and Kurt Keutzer}, title = {Regret Minimization for Partially Observable Deep Reinforcement Learning}, booktitle = {6th International Conference on Learning Representations, {ICLR} 2018, Vancouver, BC, Canada, April 30 - May 3, 2018, Workshop Track Proceedings}, publisher = {OpenReview.net}, year = {2018}, url = {https://openreview.net/forum?id=BJoBhUUUG}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/JinLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/JinKL18a, author = {Peter H. Jin and Kurt Keutzer and Sergey Levine}, editor = {Jennifer G. Dy and Andreas Krause}, title = {Regret Minimization for Partially Observable Deep Reinforcement Learning}, booktitle = {Proceedings of the 35th International Conference on Machine Learning, {ICML} 2018, Stockholmsm{\"{a}}ssan, Stockholm, Sweden, July 10-15, 2018}, series = {Proceedings of Machine Learning Research}, volume = {80}, pages = {2347--2356}, publisher = {{PMLR}}, year = {2018}, url = {http://proceedings.mlr.press/v80/jin18c.html}, timestamp = {Wed, 03 Apr 2019 18:17:30 +0200}, biburl = {https://dblp.org/rec/conf/icml/JinKL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/YouZHDK18, author = {Yang You and Zhao Zhang and Cho{-}Jui Hsieh and James Demmel and Kurt Keutzer}, title = {ImageNet Training in Minutes}, booktitle = {Proceedings of the 47th International Conference on Parallel Processing, {ICPP} 2018, Eugene, OR, USA, August 13-16, 2018}, pages = {1:1--1:10}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3225058.3225069}, doi = {10.1145/3225058.3225069}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/YouZHDK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/WuWYK18, author = {Bichen Wu and Alvin Wan and Xiangyu Yue and Kurt Keutzer}, title = {SqueezeSeg: Convolutional Neural Nets with Recurrent {CRF} for Real-Time Road-Object Segmentation from 3D LiDAR Point Cloud}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {1887--1893}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8462926}, doi = {10.1109/ICRA.2018.8462926}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/WuWYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/DreossiGYKSS18, author = {Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {Counterexample-Guided Data Augmentation}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {2071--2078}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/286}, doi = {10.24963/IJCAI.2018/286}, timestamp = {Tue, 20 Aug 2019 16:19:08 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/DreossiGYKSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ZhaoDHCSK18, author = {Sicheng Zhao and Guiguang Ding and Qingming Huang and Tat{-}Seng Chua and Bj{\"{o}}rn W. Schuller and Kurt Keutzer}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {Affective Image Content Analysis: {A} Comprehensive Survey}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {5534--5541}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/780}, doi = {10.24963/IJCAI.2018/780}, timestamp = {Tue, 20 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ZhaoDHCSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/GholamiSSHYZJBK18, author = {Amir Gholami and Shashank Subramanian and Varun Shenoy and Naveen Himthani and Xiangyu Yue and Sicheng Zhao and Peter H. Jin and George Biros and Kurt Keutzer}, editor = {Alessandro Crimi and Spyridon Bakas and Hugo J. Kuijf and Farahani Keyvan and Mauricio Reyes and Theo van Walsum}, title = {A Novel Domain Adaptation Framework for Medical Image Segmentation}, booktitle = {Brainlesion: Glioma, Multiple Sclerosis, Stroke and Traumatic Brain Injuries - 4th International Workshop, BrainLes 2018, Held in Conjunction with {MICCAI} 2018, Granada, Spain, September 16, 2018, Revised Selected Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11384}, pages = {289--298}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11726-9\_26}, doi = {10.1007/978-3-030-11726-9\_26}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/miccai/GholamiSSHYZJBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/YueWSKS18, author = {Xiangyu Yue and Bichen Wu and Sanjit A. Seshia and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Kiyoharu Aizawa and Michael S. Lew and Shin'ichi Satoh}, title = {A LiDAR Point Cloud Generator: from a Virtual World to Autonomous Driving}, booktitle = {Proceedings of the 2018 {ACM} on International Conference on Multimedia Retrieval, {ICMR} 2018, Yokohama, Japan, June 11-14, 2018}, pages = {458--464}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3206025.3206080}, doi = {10.1145/3206025.3206080}, timestamp = {Thu, 29 Jul 2021 12:11:15 +0200}, biburl = {https://dblp.org/rec/conf/mir/YueWSKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ZhaoZDK18, author = {Sicheng Zhao and Xin Zhao and Guiguang Ding and Kurt Keutzer}, editor = {Susanne Boll and Kyoung Mu Lee and Jiebo Luo and Wenwu Zhu and Hyeran Byun and Chang Wen Chen and Rainer Lienhart and Tao Mei}, title = {EmotionGAN: Unsupervised Domain Adaptation for Learning Discrete Probability Distributions of Image Emotions}, booktitle = {2018 {ACM} Multimedia Conference on Multimedia Conference, {MM} 2018, Seoul, Republic of Korea, October 22-26, 2018}, pages = {1319--1327}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240508.3240591}, doi = {10.1145/3240508.3240591}, timestamp = {Mon, 18 Nov 2019 15:08:26 +0100}, biburl = {https://dblp.org/rec/conf/mm/ZhaoZDK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YaoGLKM18, author = {Zhewei Yao and Amir Gholami and Qi Lei and Kurt Keutzer and Michael W. Mahoney}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {Hessian-based Analysis of Large Batch Training and Robustness to Adversaries}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {4954--4964}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/102f0bb6efb3a6128a3c750dd16729be-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/YaoGLKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/GholamiAJKB18, author = {Amir Gholami and Ariful Azad and Peter H. Jin and Kurt Keutzer and Aydin Bulu{\c{c}}}, editor = {Christian Scheideler and Jeremy T. Fineman}, title = {Integrated Model, Batch, and Domain Parallelism in Training Neural Networks}, booktitle = {Proceedings of the 30th on Symposium on Parallelism in Algorithms and Architectures, {SPAA} 2018, Vienna, Austria, July 16-18, 2018}, pages = {77--86}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3210377.3210394}, doi = {10.1145/3210377.3210394}, timestamp = {Wed, 21 Nov 2018 12:44:27 +0100}, biburl = {https://dblp.org/rec/conf/spaa/GholamiAJKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-08241, author = {Zhewei Yao and Amir Gholami and Qi Lei and Kurt Keutzer and Michael W. Mahoney}, title = {Hessian-based Analysis of Large Batch Training and Robustness to Adversaries}, journal = {CoRR}, volume = {abs/1802.08241}, year = {2018}, url = {http://arxiv.org/abs/1802.08241}, eprinttype = {arXiv}, eprint = {1802.08241}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-08241.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-09180, author = {Sicheng Zhao and Bichen Wu and Joseph Gonzalez and Sanjit A. Seshia and Kurt Keutzer}, title = {Unsupervised Domain Adaptation: from Simulation Engine to the RealWorld}, journal = {CoRR}, volume = {abs/1803.09180}, year = {2018}, url = {http://arxiv.org/abs/1803.09180}, eprinttype = {arXiv}, eprint = {1803.09180}, timestamp = {Fri, 01 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-09180.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-10615, author = {Amir Gholami and Kiseok Kwon and Bichen Wu and Zizheng Tai and Xiangyu Yue and Peter H. Jin and Sicheng Zhao and Kurt Keutzer}, title = {SqueezeNext: Hardware-Aware Neural Network Design}, journal = {CoRR}, volume = {abs/1803.10615}, year = {2018}, url = {http://arxiv.org/abs/1803.10615}, eprinttype = {arXiv}, eprint = {1803.10615}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-10615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-00103, author = {Xiangyu Yue and Bichen Wu and Sanjit A. Seshia and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {A LiDAR Point Cloud Generator: from a Virtual World to Autonomous Driving}, journal = {CoRR}, volume = {abs/1804.00103}, year = {2018}, url = {http://arxiv.org/abs/1804.00103}, eprinttype = {arXiv}, eprint = {1804.00103}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-00103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-10642, author = {Kiseok Kwon and Alon Amid and Amir Gholami and Bichen Wu and Krste Asanovic and Kurt Keutzer}, title = {Co-Design of Deep Neural Nets and Neural Net Accelerators for Embedded Vision Applications}, journal = {CoRR}, volume = {abs/1804.10642}, year = {2018}, url = {http://arxiv.org/abs/1804.10642}, eprinttype = {arXiv}, eprint = {1804.10642}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-10642.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-06962, author = {Tommaso Dreossi and Shromona Ghosh and Xiangyu Yue and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia}, title = {Counterexample-Guided Data Augmentation}, journal = {CoRR}, volume = {abs/1805.06962}, year = {2018}, url = {http://arxiv.org/abs/1805.06962}, eprinttype = {arXiv}, eprint = {1805.06962}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-06962.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-08495, author = {Bichen Wu and Xuanyu Zhou and Sicheng Zhao and Xiangyu Yue and Kurt Keutzer}, title = {SqueezeSegV2: Improved Model Structure and Unsupervised Domain Adaptation for Road-Object Segmentation from a LiDAR Point Cloud}, journal = {CoRR}, volume = {abs/1809.08495}, year = {2018}, url = {http://arxiv.org/abs/1809.08495}, eprinttype = {arXiv}, eprint = {1809.08495}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-08495.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-01021, author = {Zhewei Yao and Amir Gholami and Kurt Keutzer and Michael W. Mahoney}, title = {Large batch size training of neural networks with adversarial training and second-order information}, journal = {CoRR}, volume = {abs/1810.01021}, year = {2018}, url = {http://arxiv.org/abs/1810.01021}, eprinttype = {arXiv}, eprint = {1810.01021}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-01021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-05732, author = {Amir Gholami and Shashank Subramanian and Varun Shenoy and Naveen Himthani and Xiangyu Yue and Sicheng Zhao and Peter H. Jin and George Biros and Kurt Keutzer}, title = {A Novel Domain Adaptation Framework for Medical Image Segmentation}, journal = {CoRR}, volume = {abs/1810.05732}, year = {2018}, url = {http://arxiv.org/abs/1810.05732}, eprinttype = {arXiv}, eprint = {1810.05732}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-05732.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-08634, author = {Yifan Yang and Qijing Huang and Bichen Wu and Tianjun Zhang and Liang Ma and Giulio Gambardella and Michaela Blott and Luciano Lavagno and Kees A. Vissers and John Wawrzynek and Kurt Keutzer}, title = {Synetgy: Algorithm-hardware Co-design for ConvNet Accelerators on Embedded FPGAs}, journal = {CoRR}, volume = {abs/1811.08634}, year = {2018}, url = {http://arxiv.org/abs/1811.08634}, eprinttype = {arXiv}, eprint = {1811.08634}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-08634.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-00090, author = {Bichen Wu and Yanghan Wang and Peizhao Zhang and Yuandong Tian and Peter Vajda and Kurt Keutzer}, title = {Mixed Precision Quantization of ConvNets via Differentiable Neural Architecture Search}, journal = {CoRR}, volume = {abs/1812.00090}, year = {2018}, url = {http://arxiv.org/abs/1812.00090}, eprinttype = {arXiv}, eprint = {1812.00090}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-00090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-01216, author = {Norman Mu and Zhewei Yao and Amir Gholami and Kurt Keutzer and Michael W. Mahoney}, title = {Parameter Re-Initialization through Cyclical Batch Size Schedules}, journal = {CoRR}, volume = {abs/1812.01216}, year = {2018}, url = {http://arxiv.org/abs/1812.01216}, eprinttype = {arXiv}, eprint = {1812.01216}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-01216.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-03443, author = {Bichen Wu and Xiaoliang Dai and Peizhao Zhang and Yanghan Wang and Fei Sun and Yiming Wu and Yuandong Tian and Peter Vajda and Yangqing Jia and Kurt Keutzer}, title = {FBNet: Hardware-Aware Efficient ConvNet Design via Differentiable Neural Architecture Search}, journal = {CoRR}, volume = {abs/1812.03443}, year = {2018}, url = {http://arxiv.org/abs/1812.03443}, eprinttype = {arXiv}, eprint = {1812.03443}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-03443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-06371, author = {Zhewei Yao and Amir Gholami and Peng Xu and Kurt Keutzer and Michael W. Mahoney}, title = {Trust Region Based Adversarial Attack on Neural Networks}, journal = {CoRR}, volume = {abs/1812.06371}, year = {2018}, url = {http://arxiv.org/abs/1812.06371}, eprinttype = {arXiv}, eprint = {1812.06371}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-06371.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/MoskewiczJK17, author = {Matthew W. Moskewicz and Ali Jannesari and Kurt Keutzer}, title = {Boda: {A} Holistic Approach for Implementing Neural Network Computations}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'17, Siena, Italy, May 15-17, 2017}, pages = {53--62}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3075564.3077382}, doi = {10.1145/3075564.3077382}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/MoskewiczJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/IandolaK17, author = {Forrest N. Iandola and Kurt Keutzer}, title = {Small neural nets are beautiful: enabling embedded systems with small deep-neural-network architectures}, booktitle = {Proceedings of the Twelfth {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS} 2017, Seoul, Republic of Korea, October 15-20, 2017}, pages = {1:1--1:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3125502.3125606}, doi = {10.1145/3125502.3125606}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/IandolaK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WuIJK17, author = {Bichen Wu and Forrest N. Iandola and Peter H. Jin and Kurt Keutzer}, title = {SqueezeDet: Unified, Small, Low Power Fully Convolutional Neural Networks for Real-Time Object Detection for Autonomous Driving}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {446--454}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.60}, doi = {10.1109/CVPRW.2017.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/WuIJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vehits/AshrafWIMK17, author = {Khalid Ashraf and Bichen Wu and Forrest N. Iandola and Matthew W. Moskewicz and Kurt Keutzer}, editor = {Oleg Yu. Gusikhin and Markus Helfert and Ant{\'{o}}nio M. Pascoal}, title = {Shallow Networks for High-accuracy Road Object-detection}, booktitle = {Proceedings of the 3rd International Conference on Vehicle Technology and Intelligent Transport Systems, {VEHITS} 2017, Porto, Portugal, April 22-24, 2017}, pages = {33--40}, publisher = {SciTePress}, year = {2017}, url = {https://doi.org/10.5220/0006214900330040}, doi = {10.5220/0006214900330040}, timestamp = {Wed, 04 Dec 2019 16:18:43 +0100}, biburl = {https://dblp.org/rec/conf/vehits/AshrafWIMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-02759, author = {Forrest N. Iandola and Kurt Keutzer}, title = {Keynote: Small Neural Nets Are Beautiful: Enabling Embedded Systems with Small Deep-Neural-Network Architectures}, journal = {CoRR}, volume = {abs/1710.02759}, year = {2017}, url = {http://arxiv.org/abs/1710.02759}, eprinttype = {arXiv}, eprint = {1710.02759}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-02759.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-07368, author = {Bichen Wu and Alvin Wan and Xiangyu Yue and Kurt Keutzer}, title = {SqueezeSeg: Convolutional Neural Nets with Recurrent {CRF} for Real-Time Road-Object Segmentation from 3D LiDAR Point Cloud}, journal = {CoRR}, volume = {abs/1710.07368}, year = {2017}, url = {http://arxiv.org/abs/1710.07368}, eprinttype = {arXiv}, eprint = {1710.07368}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-07368.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-11424, author = {Peter H. Jin and Sergey Levine and Kurt Keutzer}, title = {Regret Minimization for Partially Observable Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/1710.11424}, year = {2017}, url = {http://arxiv.org/abs/1710.11424}, eprinttype = {arXiv}, eprint = {1710.11424}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-11424.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-08141, author = {Bichen Wu and Alvin Wan and Xiangyu Yue and Peter H. Jin and Sicheng Zhao and Noah Golmant and Amir Gholaminejad and Joseph Gonzalez and Kurt Keutzer}, title = {Shift: {A} Zero FLOP, Zero Parameter Alternative to Spatial Convolutions}, journal = {CoRR}, volume = {abs/1711.08141}, year = {2017}, url = {http://arxiv.org/abs/1711.08141}, eprinttype = {arXiv}, eprint = {1711.08141}, timestamp = {Fri, 01 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-08141.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-04432, author = {Amir Gholami and Ariful Azad and Kurt Keutzer and Aydin Bulu{\c{c}}}, title = {Integrated Model and Data Parallelism in Training Neural Networks}, journal = {CoRR}, volume = {abs/1712.04432}, year = {2017}, url = {http://arxiv.org/abs/1712.04432}, eprinttype = {arXiv}, eprint = {1712.04432}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-04432.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/Keutzer16, author = {Kurt Keutzer}, title = {If {I} could only design one circuit ...: technical perspective}, journal = {Commun. {ACM}}, volume = {59}, number = {11}, pages = {104}, year = {2016}, url = {https://doi.org/10.1145/2996862}, doi = {10.1145/2996862}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/Keutzer16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/IandolaMAK16, author = {Forrest N. Iandola and Matthew W. Moskewicz and Khalid Ashraf and Kurt Keutzer}, title = {FireCaffe: Near-Linear Acceleration of Deep Neural Network Training on Compute Clusters}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2016, Las Vegas, NV, USA, June 27-30, 2016}, pages = {2592--2600}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPR.2016.284}, doi = {10.1109/CVPR.2016.284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/IandolaMAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wimob/MoskewiczIK16, author = {Matthew W. Moskewicz and Forrest N. Iandola and Kurt Keutzer}, title = {Boda-RTC: Productive generation of portable, efficient code for convolutional neural networks on mobile computing platforms}, booktitle = {12th {IEEE} International Conference on Wireless and Mobile Computing, Networking and Communications, WiMob 2016, New York, NY, USA, October 17-19, 2016}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/WiMOB.2016.7763217}, doi = {10.1109/WIMOB.2016.7763217}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wimob/MoskewiczIK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/algo/KeutzerR16, author = {Kurt Keutzer and Kaushik Ravindran}, title = {Technology Mapping}, booktitle = {Encyclopedia of Algorithms}, pages = {2200--2204}, year = {2016}, url = {https://doi.org/10.1007/978-1-4939-2864-4\_420}, doi = {10.1007/978-1-4939-2864-4\_420}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/algo/KeutzerR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/IandolaMAHDK16, author = {Forrest N. Iandola and Matthew W. Moskewicz and Khalid Ashraf and Song Han and William J. Dally and Kurt Keutzer}, title = {SqueezeNet: AlexNet-level accuracy with 50x fewer parameters and {\textless}1MB model size}, journal = {CoRR}, volume = {abs/1602.07360}, year = {2016}, url = {http://arxiv.org/abs/1602.07360}, eprinttype = {arXiv}, eprint = {1602.07360}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/IandolaMAHDK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MoskewiczIK16, author = {Matthew W. Moskewicz and Forrest N. Iandola and Kurt Keutzer}, title = {Boda-RTC: Productive Generation of Portable, Efficient Code for Convolutional Neural Networks on Mobile Computing Platforms}, journal = {CoRR}, volume = {abs/1606.00094}, year = {2016}, url = {http://arxiv.org/abs/1606.00094}, eprinttype = {arXiv}, eprint = {1606.00094}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MoskewiczIK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/AshrafWIMK16, author = {Khalid Ashraf and Bichen Wu and Forrest N. Iandola and Matthew W. Moskewicz and Kurt Keutzer}, title = {Shallow Networks for High-Accuracy Road Object-Detection}, journal = {CoRR}, volume = {abs/1606.01561}, year = {2016}, url = {http://arxiv.org/abs/1606.01561}, eprinttype = {arXiv}, eprint = {1606.01561}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/AshrafWIMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JinYIK16, author = {Peter H. Jin and Qiaochu Yuan and Forrest N. Iandola and Kurt Keutzer}, title = {How to scale distributed deep learning?}, journal = {CoRR}, volume = {abs/1611.04581}, year = {2016}, url = {http://arxiv.org/abs/1611.04581}, eprinttype = {arXiv}, eprint = {1611.04581}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JinYIK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MoskewiczJK16, author = {Matthew W. Moskewicz and Ali Jannesari and Kurt Keutzer}, title = {A Metaprogramming and Autotuning Framework for Deploying Deep Learning Applications}, journal = {CoRR}, volume = {abs/1611.06945}, year = {2016}, url = {http://arxiv.org/abs/1611.06945}, eprinttype = {arXiv}, eprint = {1611.06945}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MoskewiczJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WuIJK16, author = {Bichen Wu and Forrest N. Iandola and Peter H. Jin and Kurt Keutzer}, title = {SqueezeDet: Unified, Small, Low Power Fully Convolutional Neural Networks for Real-Time Object Detection for Autonomous Driving}, journal = {CoRR}, volume = {abs/1612.01051}, year = {2016}, url = {http://arxiv.org/abs/1612.01051}, eprinttype = {arXiv}, eprint = {1612.01051}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WuIJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/IandolaMK15, author = {Forrest N. Iandola and Matthew W. Moskewicz and Kurt Keutzer}, title = {libHOG: Energy-Efficient Histogram of Oriented Gradient Computation}, booktitle = {{IEEE} 18th International Conference on Intelligent Transportation Systems, {ITSC} 2015, Gran Canaria, Spain, September 15-18, 2015}, pages = {1248--1254}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ITSC.2015.205}, doi = {10.1109/ITSC.2015.205}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/IandolaMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mir/AshrafEIMBFK15, author = {Khalid Ashraf and Benjamin Elizalde and Forrest N. Iandola and Matthew W. Moskewicz and Julia Bernd and Gerald Friedland and Kurt Keutzer}, editor = {Alexander G. Hauptmann and Chong{-}Wah Ngo and Xiangyang Xue and Yu{-}Gang Jiang and Cees Snoek and Nuno Vasconcelos}, title = {Audio-Based Multimedia Event Detection with DNNs and Sparse Sampling}, booktitle = {Proceedings of the 5th {ACM} on International Conference on Multimedia Retrieval, Shanghai, China, June 23-26, 2015}, pages = {611--614}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2671188.2749396}, doi = {10.1145/2671188.2749396}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mir/AshrafEIMBFK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/IandolaSGK15, author = {Forrest N. Iandola and Anting Shen and Peter Gao and Kurt Keutzer}, title = {DeepLogo: Hitting Logo Recognition with the Deep Neural Network Hammer}, journal = {CoRR}, volume = {abs/1510.02131}, year = {2015}, url = {http://arxiv.org/abs/1510.02131}, eprinttype = {arXiv}, eprint = {1510.02131}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/IandolaSGK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/IandolaAMK15, author = {Forrest N. Iandola and Khalid Ashraf and Matthew W. Moskewicz and Kurt Keutzer}, title = {FireCaffe: near-linear acceleration of deep neural network training on compute clusters}, journal = {CoRR}, volume = {abs/1511.00175}, year = {2015}, url = {http://arxiv.org/abs/1511.00175}, eprinttype = {arXiv}, eprint = {1511.00175}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/IandolaAMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JinK15a, author = {Peter H. Jin and Kurt Keutzer}, title = {Convolutional Monte Carlo Rollouts in Go}, journal = {CoRR}, volume = {abs/1512.03375}, year = {2015}, url = {http://arxiv.org/abs/1512.03375}, eprinttype = {arXiv}, eprint = {1512.03375}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JinK15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/GoninaFBKDGK14, author = {Ekaterina Gonina and Gerald Friedland and Eric Battenberg and Penporn Koanantakool and Michael B. Driscoll and Evangelos Georganas and Kurt Keutzer}, title = {Scalable multimedia content analysis on parallel platforms using python}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {10}, number = {2}, pages = {18:1--18:22}, year = {2014}, url = {https://doi.org/10.1145/2517151}, doi = {10.1145/2517151}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tomccap/GoninaFBKDGK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/IandolaMKGDK14, author = {Forrest N. Iandola and Matthew W. Moskewicz and Sergey Karayev and Ross B. Girshick and Trevor Darrell and Kurt Keutzer}, title = {DenseNet: Implementing Efficient ConvNet Descriptor Pyramids}, journal = {CoRR}, volume = {abs/1404.1869}, year = {2014}, url = {http://arxiv.org/abs/1404.1869}, eprinttype = {arXiv}, eprint = {1404.1869}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/IandolaMKGDK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeSWAKA13, author = {Yunsup Lee and David Sheffield and Andrew Waterman and Michael J. Anderson and Kurt Keutzer and Krste Asanovic}, title = {Measuring the gap between programmable and fixed-function accelerators: {A} case study on speech recognition}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478326}, doi = {10.1109/HOTCHIPS.2013.7478326}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LeeSWAKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotpar/SheffieldAK13, author = {David Sheffield and Michael J. Anderson and Kurt Keutzer}, editor = {Emery D. Berger and Kim M. Hazelwood}, title = {Three Fingered Jack: Tackling Portability, Performance, and Productivity with Auto-Parallelized Python}, booktitle = {5th {USENIX} Workshop on Hot Topics in Parallelism, HotPar'13, San Jose, CA, USA, June 24-25, 2013}, publisher = {{USENIX} Association}, year = {2013}, url = {https://www.usenix.org/conference/hotpar13/workshop-program/presentation/shef\%EF\%AC\%81eld}, timestamp = {Sat, 30 Sep 2023 09:42:37 +0200}, biburl = {https://dblp.org/rec/conf/hotpar/SheffieldAK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/IandolaSAPK13, author = {Forrest N. Iandola and David Sheffield and Michael J. Anderson and Phitchaya Mangpo Phothilimthana and Kurt Keutzer}, title = {Communication-minimizing 2D convolution in {GPU} registers}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {2116--2120}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738436}, doi = {10.1109/ICIP.2013.6738436}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/IandolaSAPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SheffieldALK13, author = {David Sheffield and Michael J. Anderson and Yunsup Lee and Kurt Keutzer}, editor = {Fr{\'{e}}d{\'{e}}ric Bimbot and Christophe Cerisara and C{\'{e}}cile Fougeron and Guillaume Gravier and Lori Lamel and Fran{\c{c}}ois Pellegrino and Pascal Perrier}, title = {Hardware/software codesign for mobile speech recognition}, booktitle = {{INTERSPEECH} 2013, 14th Annual Conference of the International Speech Communication Association, Lyon, France, August 25-29, 2013}, pages = {627--631}, publisher = {{ISCA}}, year = {2013}, url = {https://doi.org/10.21437/Interspeech.2013-182}, doi = {10.21437/INTERSPEECH.2013-182}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SheffieldALK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/DixonCK12, author = {Matthew F. Dixon and Jike Chong and Kurt Keutzer}, title = {Accelerating Value-at-Risk estimation on highly parallel architectures}, journal = {Concurr. Comput. Pract. Exp.}, volume = {24}, number = {8}, pages = {895--907}, year = {2012}, url = {https://doi.org/10.1002/cpe.1790}, doi = {10.1002/CPE.1790}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/DixonCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/MurphyADKVL12, author = {Mark Murphy and Marcus T. Alley and James Demmel and Kurt Keutzer and Shreyas Vasanawala and Michael Lustig}, title = {Fast {\(\mathscr{l}\)}\({}_{\mbox{1}}\)-SPIRiT Compressed Sensing Parallel Imaging {MRI:} Scalable Parallel Implementation and Clinically Feasible Runtime}, journal = {{IEEE} Trans. Medical Imaging}, volume = {31}, number = {6}, pages = {1250--1262}, year = {2012}, url = {https://doi.org/10.1109/TMI.2012.2188039}, doi = {10.1109/TMI.2012.2188039}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/MurphyADKVL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/SheffieldAK12, author = {David Sheffield and Michael J. Anderson and Kurt Keutzer}, editor = {Dirk Koch and Satnam Singh and Jim T{\o}rresen}, title = {Automatic generation of application-specific accelerators for FPGAs from python loop nests}, booktitle = {22nd International Conference on Field Programmable Logic and Applications (FPL), Oslo, Norway, August 29-31, 2012}, pages = {567--570}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPL.2012.6339372}, doi = {10.1109/FPL.2012.6339372}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/SheffieldAK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/SuK12, author = {Bor{-}Yiing Su and Kurt Keutzer}, editor = {Utpal Banerjee and Kyle A. Gallivan and Gianfranco Bilardi and Manolis Katevenis}, title = {clSpMV: {A} Cross-Platform OpenCL SpMV Framework on GPUs}, booktitle = {International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012}, pages = {353--364}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2304576.2304624}, doi = {10.1145/2304576.2304624}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/SuK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AndersonSK12, author = {Michael J. Anderson and David Sheffield and Kurt Keutzer}, title = {A Predictive Model for Solving Small Linear Algebra Problems in {GPU} Registers}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {2--13}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPS.2012.11}, doi = {10.1109/IPDPS.2012.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AndersonSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/software/PankratiusSK11, author = {Victor Pankratius and Wolfram Schulte and Kurt Keutzer}, title = {Guest Editors' Introduction: Parallelism on the Desktop}, journal = {{IEEE} Softw.}, volume = {28}, number = {1}, pages = {14--16}, year = {2011}, url = {https://doi.org/10.1109/MS.2011.8}, doi = {10.1109/MS.2011.8}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/software/PankratiusSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KeutzerLSZ11, author = {Kurt Keutzer and Peng Li and Li Shang and Hai Zhou}, title = {A Special Section on Multicore Parallel {CAD:} Algorithm Design and Programming}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {16}, number = {3}, pages = {21:1--21:2}, year = {2011}, url = {https://doi.org/10.1145/1970353.1970354}, doi = {10.1145/1970353.1970354}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/KeutzerLSZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/GoninaFCK11, author = {Ekaterina Gonina and Gerald Friedland and Henry Cook and Kurt Keutzer}, editor = {David Nahamoo and Michael Picheny}, title = {Fast speaker diarization using a high-level scripting language}, booktitle = {2011 {IEEE} Workshop on Automatic Speech Recognition {\&} Understanding, {ASRU} 2011, Waikoloa, HI, USA, December 11-15, 2011}, pages = {553--558}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASRU.2011.6163887}, doi = {10.1109/ASRU.2011.6163887}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/asru/GoninaFCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotpar/AndersonCCGKLMSSS11, author = {Michael J. Anderson and Bryan Catanzaro and Jike Chong and Ekaterina Gonina and Kurt Keutzer and Chao{-}Yue Lai and Mark Murphy and David Sheffield and Bor{-}Yiing Su and Narayanan Sundaram}, editor = {Michael McCool and Mendel Rosenblum}, title = {Considerations When Evaluating Microprocessor Platforms}, booktitle = {3rd {USENIX} Workshop on Hot Topics in Parallelism, HotPar'11, Berkeley, CA, USA, May 26-27, 2011}, publisher = {{USENIX} Association}, year = {2011}, url = {https://www.usenix.org/conference/hotpar-11/considerations-when-evaluating-microprocessor-platforms}, timestamp = {Wed, 04 Jul 2018 13:06:35 +0200}, biburl = {https://dblp.org/rec/conf/hotpar/AndersonCCGKLMSSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/SundaramK11, author = {Narayanan Sundaram and Kurt Keutzer}, title = {Long term video segmentation through pixel level spectral clustering on GPUs}, booktitle = {{IEEE} International Conference on Computer Vision Workshops, {ICCV} 2011 Workshops, Barcelona, Spain, November 6-13, 2011}, pages = {475--482}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCVW.2011.6130281}, doi = {10.1109/ICCVW.2011.6130281}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/SundaramK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AndersonBDK11, author = {Michael J. Anderson and Grey Ballard and James Demmel and Kurt Keutzer}, title = {Communication-Avoiding {QR} Decomposition for GPUs}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings}, pages = {48--58}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.15}, doi = {10.1109/IPDPS.2011.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AndersonBDK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/VasanawalaMALKPL11, author = {Shreyas Vasanawala and M. J. Murphy and Marcus T. Alley and P. Lai and Kurt Keutzer and John M. Pauly and Michael Lustig}, title = {Practical parallel imaging compressed sensing {MRI:} Summary of two years of experience in accelerating body {MRI} of pediatric patients}, booktitle = {Proceedings of the 8th {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, {ISBI} 2011, March 30 - April 2, 2011, Chicago, Illinois, {USA}}, pages = {1039--1043}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISBI.2011.5872579}, doi = {10.1109/ISBI.2011.5872579}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/VasanawalaMALKPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwpt/YiLPK11, author = {Youngmin Yi and Chao{-}Yue Lai and Slav Petrov and Kurt Keutzer}, title = {Efficient Parallel {CKY} Parsing on GPUs}, booktitle = {Proceedings of the 12th International Conference on Parsing Technologies, {IWPT} 2011, October 5-7, 2011, Dublin City University, Dubin, Ireland}, pages = {175--185}, publisher = {The Association for Computational Linguistics}, year = {2011}, url = {https://aclanthology.org/W11-2921/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwpt/YiLPK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/CatanzaroGK11, author = {Bryan Catanzaro and Michael Garland and Kurt Keutzer}, editor = {Calin Cascaval and Pen{-}Chung Yew}, title = {Copperhead: compiling an embedded data parallel language}, booktitle = {Proceedings of the 16th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2011, San Antonio, TX, USA, February 12-16, 2011}, pages = {47--56}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1941553.1941562}, doi = {10.1145/1941553.1941562}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/CatanzaroGK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/SuBK11, author = {Bor{-}Yiing Su and Tasneem G. Brutch and Kurt Keutzer}, title = {A parallel region based object recognition system}, booktitle = {{IEEE} Workshop on Applications of Computer Vision {(WACV} 2011), 5-7 January 2011, Kona, HI, {USA}}, pages = {81--88}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/WACV.2011.5711487}, doi = {10.1109/WACV.2011.5711487}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/wacv/SuBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/hubner2011/AndersonCCGKLMSS11, author = {Michael J. Anderson and Bryan Catanzaro and Jike Chong and Ekaterina Gonina and Kurt Keutzer and Chao{-}Yue Lai and Mark Murphy and Bor{-}Yiing Su and Narayanan Sundaram}, editor = {Michael H{\"{u}}bner and J{\"{u}}rgen Becker}, title = {{PALLAS:} Mapping Applications onto Manycore}, booktitle = {Multiprocessor System-on-Chip - Hardware Design and Tool Integration}, pages = {89--113}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-1-4419-6460-1\_4}, doi = {10.1007/978-1-4419-6460-1\_4}, timestamp = {Wed, 28 Apr 2021 16:06:52 +0200}, biburl = {https://dblp.org/rec/books/sp/hubner2011/AndersonCCGKLMSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/crossroads/CatanzaroK10, author = {Bryan Catanzaro and Kurt Keutzer}, title = {Parallel computing with patterns and frameworks}, journal = {{XRDS}}, volume = {17}, number = {1}, pages = {22--27}, year = {2010}, url = {https://doi.org/10.1145/1836543.1836552}, doi = {10.1145/1836543.1836552}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/crossroads/CatanzaroK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/CatanzaroFKPSSOHC10, author = {Bryan Catanzaro and Armando Fox and Kurt Keutzer and David A. Patterson and Bor{-}Yiing Su and Marc Snir and Kunle Olukotun and Pat Hanrahan and Hassan Chafi}, title = {Ubiquitous Parallel Computing from Berkeley, Illinois, and Stanford}, journal = {{IEEE} Micro}, volume = {30}, number = {2}, pages = {41--55}, year = {2010}, url = {https://doi.org/10.1109/MM.2010.42}, doi = {10.1109/MM.2010.42}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/CatanzaroFKPSSOHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/SundaramBK10, author = {Narayanan Sundaram and Thomas Brox and Kurt Keutzer}, editor = {Kostas Daniilidis and Petros Maragos and Nikos Paragios}, title = {Dense Point Trajectories by GPU-Accelerated Large Displacement Optical Flow}, booktitle = {Computer Vision - {ECCV} 2010, 11th European Conference on Computer Vision, Heraklion, Crete, Greece, September 5-11, 2010, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6311}, pages = {438--451}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15549-9\_32}, doi = {10.1007/978-3-642-15549-9\_32}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/SundaramBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SuBK10, author = {Bor{-}Yiing Su and Tasneem G. Brutch and Kurt Keutzer}, title = {Parallel {BFS} graph traversal on images using structured grid}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2010, September 26-29, Hong Kong, China}, pages = {4489--4492}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICIP.2010.5652307}, doi = {10.1109/ICIP.2010.5652307}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/SuBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChongGYK10, author = {Jike Chong and Ekaterina Gonina and Kisun You and Kurt Keutzer}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {Exploring recognition network representations for efficient speech inference on highly parallel platforms}, booktitle = {{INTERSPEECH} 2010, 11th Annual Conference of the International Speech Communication Association, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {1489--1492}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-436}, doi = {10.21437/INTERSPEECH.2010-436}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChongGYK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KolossaCZK10, author = {Dorothea Kolossa and Jike Chong and Steffen Zeiler and Kurt Keutzer}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {Efficient manycore {CHMM} speech recognition for audiovisual and multistream data}, booktitle = {{INTERSPEECH} 2010, 11th Annual Conference of the International Speech Communication Association, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {2698--2701}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-715}, doi = {10.21437/INTERSPEECH.2010-715}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KolossaCZK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/AsanovicBDKKKMPSWWY09, author = {Krste Asanovic and Rastislav Bod{\'{\i}}k and James Demmel and Tony M. Keaveny and Kurt Keutzer and John Kubiatowicz and Nelson Morgan and David A. Patterson and Koushik Sen and John Wawrzynek and David Wessel and Katherine A. Yelick}, title = {A view of the parallel computing landscape}, journal = {Commun. {ACM}}, volume = {52}, number = {10}, pages = {56--67}, year = {2009}, url = {https://doi.org/10.1145/1562764.1562783}, doi = {10.1145/1562764.1562783}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacm/AsanovicBDKKKMPSWWY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/YouCYGHCSK09, author = {Kisun You and Jike Chong and Youngmin Yi and Ekaterina Gonina and Christopher J. Hughes and Yen{-}Kuang Chen and Wonyong Sung and Kurt Keutzer}, title = {Parallel scalability in speech recognition}, journal = {{IEEE} Signal Process. Mag.}, volume = {26}, number = {6}, pages = {124--135}, year = {2009}, url = {https://doi.org/10.1109/MSP.2009.934124}, doi = {10.1109/MSP.2009.934124}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/YouCYGHCSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KeutzerLSZ09, author = {Kurt Keutzer and Peng Li and Li Shang and Hai Zhou}, title = {{ACM} Transactions on Design Automation of Electronic Systems {(TODAES)} special section call for papers: Parallel {CAD:} Algorithm design and programming}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {15}, number = {1}, pages = {9:1--9:2}, year = {2009}, url = {https://doi.org/10.1145/1640457.1640466}, doi = {10.1145/1640457.1640466}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/KeutzerLSZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/SatishSK09, author = {Nadathur Satish and Narayanan Sundaram and Kurt Keutzer}, editor = {Yuanyuan Yang and Manish Parashar and Rajeev Muralidhar and Viktor K. Prasanna}, title = {Optimizing the use of {GPU} memory in applications with large data sets}, booktitle = {16th International Conference on High Performance Computing, HiPC 2009, December 16-19, 2009, Kochi, India, Proceedings}, pages = {408--418}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HIPC.2009.5433185}, doi = {10.1109/HIPC.2009.5433185}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/SatishSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/CatanzaroSSLMK09, author = {Bryan Catanzaro and Bor{-}Yiing Su and Narayanan Sundaram and Yunsup Lee and Mark Murphy and Kurt Keutzer}, title = {Efficient, high-quality image contour detection}, booktitle = {{IEEE} 12th International Conference on Computer Vision, {ICCV} 2009, Kyoto, Japan, September 27 - October 4, 2009}, pages = {2381--2388}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCV.2009.5459410}, doi = {10.1109/ICCV.2009.5459410}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/CatanzaroSSLMK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChongYYGHSK09, author = {Jike Chong and Kisun You and Youngmin Yi and Ekaterina Gonina and Christopher J. Hughes and Wonyong Sung and Kurt Keutzer}, title = {Scalable {HMM} based inference engine in large vocabulary continuous speech recognition}, booktitle = {Proceedings of the 2009 {IEEE} International Conference on Multimedia and Expo, {ICME} 2009, June 28 - July 2, 2009, New York City, NY, {USA}}, pages = {1797--1800}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICME.2009.5202871}, doi = {10.1109/ICME.2009.5202871}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/ChongYYGHSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MurphyKW09, author = {Mark Murphy and Kurt Keutzer and Hong Wang}, title = {Image feature extraction for mobile processors}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Workload Characterization, {IISWC} 2009, October 4-6, 2009, Austin, TX, {USA}}, pages = {138--147}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IISWC.2009.5306789}, doi = {10.1109/IISWC.2009.5306789}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MurphyKW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChongGYK09, author = {Jike Chong and Ekaterina Gonina and Youngmin Yi and Kurt Keutzer}, title = {A fully data parallel WFST-based large vocabulary continuous speech recognition on a graphics processing unit}, booktitle = {{INTERSPEECH} 2009, 10th Annual Conference of the International Speech Communication Association, Brighton, United Kingdom, September 6-10, 2009}, pages = {1183--1186}, publisher = {{ISCA}}, year = {2009}, url = {https://doi.org/10.21437/Interspeech.2009-343}, doi = {10.21437/INTERSPEECH.2009-343}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChongGYK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/DixonCK09, author = {Matthew Dixon and Jike Chong and Kurt Keutzer}, editor = {David Daly and Maria Eleftheriou and Jos{\'{e}} E. Moreira and Kyung Dong Ryu}, title = {Acceleration of market value-at-risk estimation}, booktitle = {Proceedings of the 2nd Workshop on High Performance Computational Finance, {WHPCF} 2009, November 15, 2009, Portland, Oregon, {USA}}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645413.1645418}, doi = {10.1145/1645413.1645418}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/DixonCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/HwuKM08, author = {Wen{-}mei W. Hwu and Kurt Keutzer and Timothy G. Mattson}, title = {The Concurrency Challenge}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {4}, pages = {312--320}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.110}, doi = {10.1109/MDT.2008.110}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/HwuKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CatanzaroKS08, author = {Bryan Catanzaro and Kurt Keutzer and Bor{-}Yiing Su}, editor = {Limor Fix}, title = {Parallelizing {CAD:} a timely research agenda for {EDA}}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {12--17}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391475}, doi = {10.1145/1391469.1391475}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CatanzaroKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SapatnekarHKDKMPS08, author = {Sachin S. Sapatnekar and Eshel Haritan and Kurt Keutzer and Anirudh Devgan and Desmond Kirkpatrick and Stephen Meier and Duaine Pryor and Tom Spyrou}, editor = {Limor Fix}, title = {Reinventing {EDA} with manycore processors}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {126--127}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391502}, doi = {10.1145/1391469.1391502}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SapatnekarHKDKMPS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/SatishRK08, author = {Nadathur Satish and Kaushik Ravindran and Kurt Keutzer}, editor = {Luca de Alfaro and Jens Palsberg}, title = {Scheduling task dependence graphs with variable task execution times onto heterogeneous multiprocessors}, booktitle = {Proceedings of the 8th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2008, Atlanta, GA, USA, October 19-24, 2008}, pages = {149--158}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1450058.1450079}, doi = {10.1145/1450058.1450079}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/SatishRK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PhillipsKW08, author = {Joel R. Phillips and Kurt Keutzer and Michael Wrinn}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Architecting parallel programs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {4}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681535}, doi = {10.1109/ICCAD.2008.4681535}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PhillipsKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/CatanzaroSK08, author = {Bryan Catanzaro and Narayanan Sundaram and Kurt Keutzer}, editor = {William W. Cohen and Andrew McCallum and Sam T. Roweis}, title = {Fast support vector machine training and classification on graphics processors}, booktitle = {Machine Learning, Proceedings of the Twenty-Fifth International Conference {(ICML} 2008), Helsinki, Finland, June 5-9, 2008}, series = {{ACM} International Conference Proceeding Series}, volume = {307}, pages = {104--111}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1390156.1390170}, doi = {10.1145/1390156.1390170}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/CatanzaroSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/algo/KeutzerR08, author = {Kurt Keutzer and Kaushik Ravindran}, editor = {Ming{-}Yang Kao}, title = {Technology Mapping}, booktitle = {Encyclopedia of Algorithms - 2008 Edition}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-0-387-30162-4\_420}, doi = {10.1007/978-0-387-30162-4\_420}, timestamp = {Thu, 27 Jun 2019 16:25:31 +0200}, biburl = {https://dblp.org/rec/reference/algo/KeutzerR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0024326, author = {David G. Chinnery and Kurt Keutzer}, title = {Closing the Power Gap between {ASIC} and Custom - Tools and Techniques for Low Power Design}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-0-387-68953-1}, doi = {10.1007/978-0-387-68953-1}, isbn = {978-0-387-25763-1}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0024326.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BacchiniSCKGHY07, author = {Francine Bacchini and Gregory S. Spirakis and Juan Antonio Carballo and Kurt Keutzer and Aart J. de Geus and Fu{-}Chieh Hsu and Kazu Yamada}, title = {Megatrends and {EDA} 2017}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {21--22}, publisher = {{IEEE}}, year = {2007}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BacchiniSCKGHY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SatishRK07, author = {Nadathur Satish and Kaushik Ravindran and Kurt Keutzer}, editor = {Rudy Lauwereins and Jan Madsen}, title = {A decomposition-based constraint optimization approach for statically scheduling task graphs with communication delays to multiprocessors}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {57--62}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://doi.org/10.1109/DATE.2007.364567}, doi = {10.1109/DATE.2007.364567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SatishRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChongSCRK07, author = {Jike Chong and Nadathur Satish and Bryan Catanzaro and Kaushik Ravindran and Kurt Keutzer}, title = {Efficient Parallelization of {H.264} Decoding with Macro Block Level Scheduling}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {1874--1877}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4285040}, doi = {10.1109/ICME.2007.4285040}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChongSCRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/WeberK05, author = {Scott J. Weber and Kurt Keutzer}, editor = {Petru Eles and Axel Jantsch and Reinaldo A. Bergamaschi}, title = {Using minimal minterms to represent programmability}, booktitle = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005, Jersey City, NJ, USA, September 19-21, 2005}, pages = {63--68}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1084834.1084854}, doi = {10.1145/1084834.1084854}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/WeberK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/JinSRK05, author = {Yujia Jin and Nadathur Satish and Kaushik Ravindran and Kurt Keutzer}, editor = {Petru Eles and Axel Jantsch and Reinaldo A. Bergamaschi}, title = {An automated exploration framework for FPGA-based soft multiprocessor systems}, booktitle = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005, Jersey City, NJ, USA, September 19-21, 2005}, pages = {273--278}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1084834.1084903}, doi = {10.1145/1084834.1084903}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/JinSRK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChinneryK05, author = {David G. Chinnery and Kurt Keutzer}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Closing the power gap between {ASIC} and custom: an {ASIC} perspective}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {275--280}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065651}, doi = {10.1145/1065579.1065651}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChinneryK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JinPRSK05, author = {Yujia Jin and William Plishker and Kaushik Ravindran and Nadathur Satish and Kurt Keutzer}, editor = {Herman Schmit and Steven J. E. Wilton}, title = {Soft multiprocessor systems for network applications (abstract only)}, booktitle = {Proceedings of the {ACM/SIGDA} 13th International Symposium on Field Programmable Gate Arrays, {FPGA} 2005, Monterey, California, USA, February 20-22, 2005}, pages = {271}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1046192.1046246}, doi = {10.1145/1046192.1046246}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/JinPRSK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/RavindranSJK05, author = {Kaushik Ravindran and Nadathur Satish and Yujia Jin and Kurt Keutzer}, editor = {Tero Rissa and Steven J. E. Wilton and Philip Heng Wai Leong}, title = {An FPGA-based Soft Multiprocessor System for IPv4 Packet Forwarding}, booktitle = {Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), Tampere, Finland, August 24-26, 2005}, pages = {487--492}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/FPL.2005.1515769}, doi = {10.1109/FPL.2005.1515769}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/RavindranSJK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ChinneryK05, author = {David G. Chinnery and Kurt Keutzer}, editor = {Kaushik Roy and Vivek Tiwari}, title = {Linear programming for sizing, V\({}_{\mbox{th}}\) and V\({}_{\mbox{dd}}\) assignment}, booktitle = {Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005}, pages = {149--154}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1077603.1077642}, doi = {10.1145/1077603.1077642}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ChinneryK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0024325, author = {David G. Chinnery and Kurt Keutzer}, title = {Closing the Gap Between {ASIC} and Custom - Tools and Techniques for High-Performance {ASIC} Design}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b105287}, doi = {10.1007/B105287}, isbn = {978-1-4020-7113-3}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0024325.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0024328, author = {Pinhong Chen and Desmond Kirkpatrick and Kurt Keutzer}, title = {Static Crosstalk-Noise Analysis - For Deep Sub-Micron Digital Designs}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/b117251}, doi = {10.1007/B117251}, isbn = {978-1-4020-8091-3}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0024328.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ShahPRK04, author = {Niraj Shah and William Plishker and Kaushik Ravindran and Kurt Keutzer}, title = {NP-Click: {A} Productive Software Development Approach for Network Processors}, journal = {{IEEE} Micro}, volume = {24}, number = {5}, pages = {45--54}, year = {2004}, url = {https://doi.org/10.1109/MM.2004.53}, doi = {10.1109/MM.2004.53}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ShahPRK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/WeberMGSK04, author = {Scott J. Weber and Matthew W. Moskewicz and Matthias Gries and Christian Sauer and Kurt Keutzer}, editor = {Alex Orailoglu and Pai H. Chou and Petru Eles and Axel Jantsch}, title = {Fast cycle-accurate simulation and instruction set generation for constraint-based descriptions of programmable architectures}, booktitle = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004, Stockholm, Sweden, September 8-10, 2004}, pages = {18--23}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1016720.1016728}, doi = {10.1145/1016720.1016728}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/WeberMGSK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DahlbergKBGR04, author = {Robert Dahlberg and Kurt Keutzer and R. Bingham and Aart J. de Geus and Walden C. Rhines}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {{EDA:} this is serious business}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {1}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996568}, doi = {10.1145/996566.996568}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DahlbergKBGR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GoldmanKBBBCSV04, author = {Richard Goldman and Kurt Keutzer and Clive Bittlestone and Ahsan Bootehsaz and Shekhar Y. Borkar and E. Chen and Louis Scheffer and Chandramouli Visweswariah}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Is statistical timing statistically significant?}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {498}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996757}, doi = {10.1145/996566.996757}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GoldmanKBBBCSV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parelec/SauerGGWK04, author = {Christian Sauer and Matthias Gries and Jos{\'{e}} Ignacio G{\'{o}}mez and Scott J. Weber and Kurt Keutzer}, title = {Developing a Flexible Interface for RapidIO, Hypertransport, and PCI-Express}, booktitle = {2004 International Conference on Parallel Computing in Electrical Engineering {(PARELEC} 2004), 7-10 September 2004, Dresden, Germany}, pages = {129--134}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/PCEE.2004.25}, doi = {10.1109/PCEE.2004.25}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/parelec/SauerGGWK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/KulkarniGSK03, author = {Chidamber Kulkarni and Matthias Gries and Christian Sauer and Kurt Keutzer}, editor = {Jaime H. Moreno and Praveen K. Murthy and Thomas M. Conte and Paolo Faraboschi}, title = {Programming challenges in network processor deployment}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California, USA, October 30 - November 1, 2003}, pages = {178--187}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/951710.951735}, doi = {10.1145/951710.951735}, timestamp = {Thu, 19 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/KulkarniGSK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GriesKSK03, author = {Matthias Gries and Chidamber Kulkarni and Christian Sauer and Kurt Keutzer}, title = {Comparing Analytical Modeling with Simulation for Network Processors: {A} Case Study}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {20256--20261}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10226}, doi = {10.1109/DATE.2003.10226}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GriesKSK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ItoCK03, author = {Masayuki Ito and David G. Chinnery and Kurt Keutzer}, title = {Low Power Multiplication Algorithm for Switching Activity Reduction through Operand Decomposition}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {21}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICCD.2003.1240868}, doi = {10.1109/ICCD.2003.1240868}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ItoCK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/NguyenDOCTK03, author = {David Nguyen and Abhijit Davare and Michael Orshansky and David G. Chinnery and Brandon Thompson and Kurt Keutzer}, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {158--163}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/871506.871545}, doi = {10.1145/871506.871545}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/NguyenDOCTK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/03/MihalK03, author = {Andrew Mihal and Kurt Keutzer}, editor = {Axel Jantsch and Hannu Tenhunen}, title = {Mapping Concurrent Applications onto Architectural Platforms}, booktitle = {Networks on Chip}, pages = {39--59}, publisher = {Kluwer / Springer}, year = {2003}, url = {https://doi.org/10.1007/0-306-48727-6\_3}, doi = {10.1007/0-306-48727-6\_3}, timestamp = {Thu, 18 Jul 2019 19:46:19 +0200}, biburl = {https://dblp.org/rec/books/sp/03/MihalK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MihalKMTSWJKSVM02, author = {Andrew Mihal and Chidamber Kulkarni and Matthew W. Moskewicz and Mel M. Tsai and Niraj Shah and Scott J. Weber and Yujia Jin and Kurt Keutzer and Christian Sauer and Kees A. Vissers and Sharad Malik}, title = {Developing Architectural Platforms: {A} Disciplined Approach}, journal = {{IEEE} Des. Test Comput.}, volume = {19}, number = {6}, pages = {6--16}, year = {2002}, url = {https://doi.org/10.1109/MDT.2002.1047739}, doi = {10.1109/MDT.2002.1047739}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MihalKMTSWJKSVM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/OrshanskyMCKH02, author = {Michael Orshansky and Linda Milor and Pinhong Chen and Kurt Keutzer and Chenming Hu}, title = {Impact of spatial intrachip gate length variability on theperformance of high-speed digital circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {21}, number = {5}, pages = {544--553}, year = {2002}, url = {https://doi.org/10.1109/43.998626}, doi = {10.1109/43.998626}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/OrshanskyMCKH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SmithNMCFKMB02, author = {Gary Smith and Daya Nadamuni and Sharad Malik and Rick Chapman and John Fogelin and Kurt Keutzer and Grant Martin and Brian Bailey}, title = {Unified tools for SoC embedded systems: mission critical, mission impossible or mission irrelevant?}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {479}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514039}, doi = {10.1145/513918.514039}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SmithNMCFKMB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OrshanskyK02, author = {Michael Orshansky and Kurt Keutzer}, title = {A general probabilistic framework for worst case timing analysis}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {556--561}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514059}, doi = {10.1145/513918.514059}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OrshanskyK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/QinRVWZAKMP02, author = {Wei Qin and Subramanian Rajagopalan and Manish Vachharajani and Hangsheng Wang and Xinping Zhu and David I. August and Kurt Keutzer and Sharad Malik and Li{-}Shiuan Peh}, editor = {Alberto L. Sangiovanni{-}Vincentelli and Joseph Sifakis}, title = {Design Tools for Application Specific Embedded Processors}, booktitle = {Embedded Software, Second International Conference, {EMSOFT} 2002, Grenoble, France, October 7-9, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2491}, pages = {319--333}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45828-X\_24}, doi = {10.1007/3-540-45828-X\_24}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/QinRVWZAKMP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenKK02, author = {Pinhong Chen and Yuji Kukimoto and Kurt Keutzer}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Refining switching window by time slots for crosstalk noise calculation}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {583--586}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774658}, doi = {10.1145/774572.774658}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KeutzerMN02, author = {Kurt Keutzer and Sharad Malik and A. Richard Newton}, title = {From {ASIC} to {ASIP:} The Next Design Discontinuity}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {84--90}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106752}, doi = {10.1109/ICCD.2002.1106752}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/KeutzerMN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ChenKTK02, author = {Pinhong Chen and Yuji Kukimoto and Chin{-}Chi Teng and Kurt Keutzer}, editor = {Sachin S. Sapatnekar and Massoud Pedram}, title = {On convergence of switching windows computation in presence of crosstalk noise}, booktitle = {Proceedings of 2002 International Symposium on Physical Design, {ISPD} 2002, Del Mar, CA, USA, April 7-10, 2002}, pages = {84--89}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505388.505410}, doi = {10.1145/505388.505410}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/ChenKTK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tau/KeutzerO02, author = {Kurt Keutzer and Michael Orshansky}, editor = {David P. LaPotin and Charles J. Alpert and John Lillis}, title = {From blind certainty to informed uncertainty}, booktitle = {Proceedings of the 8th {ACM/IEEE} International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Monterey, California, USA, December 2-3, 2002}, pages = {37--41}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/589411.589419}, doi = {10.1145/589411.589419}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tau/KeutzerO02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tau/SheikhKK02, author = {Farhana Sheikh and Andreas Kuehlmann and Kurt Keutzer}, editor = {David P. LaPotin and Charles J. Alpert and John Lillis}, title = {Minimum-power retiming for dual-supply {CMOS} circuits}, booktitle = {Proceedings of the 8th {ACM/IEEE} International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Monterey, California, USA, December 2-3, 2002}, pages = {43--49}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/589411.589422}, doi = {10.1145/589411.589422}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tau/SheikhKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/TasiranK01, author = {Serdar Tasiran and Kurt Keutzer}, title = {Coverage Metrics for Functional Validation of Hardware Designs}, journal = {{IEEE} Des. Test Comput.}, volume = {18}, number = {4}, pages = {36--45}, year = {2001}, url = {https://doi.org/10.1109/54.936247}, doi = {10.1109/54.936247}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/TasiranK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/PrasadCK01, author = {Mukul R. Prasad and Philip Chong and Kurt Keutzer}, title = {Why is Combinational {ATPG} Efficiently Solvable for Practical {VLSI} Circuits?}, journal = {J. Electron. Test.}, volume = {17}, number = {6}, pages = {509--527}, year = {2001}, url = {https://doi.org/10.1023/A:1012820722053}, doi = {10.1023/A:1012820722053}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/PrasadCK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BryantCKKMNPRS01, author = {Randal E. Bryant and Kwang{-}Ting Cheng and Andrew B. Kahng and Kurt Keutzer and Wojciech Maly and A. Richard Newton and Lawrence T. Pileggi and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {Limitations and challenges of computer-aided design technology for {CMOS} {VLSI}}, journal = {Proc. {IEEE}}, volume = {89}, number = {3}, pages = {341--365}, year = {2001}, url = {https://doi.org/10.1109/5.915378}, doi = {10.1109/5.915378}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/BryantCKKMNPRS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/SylvesterK01, author = {Dennis Sylvester and Kurt Keutzer}, title = {Impact of small process geometries on microarchitectures in systems on a chip}, journal = {Proc. {IEEE}}, volume = {89}, number = {4}, pages = {467--489}, year = {2001}, url = {https://doi.org/10.1109/5.920579}, doi = {10.1109/5.920579}, timestamp = {Tue, 17 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/SylvesterK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FallahDK01, author = {Farzan Fallah and Srinivas Devadas and Kurt Keutzer}, title = {Functional vector generation for {HDL} models using linearprogramming and Boolean satisfiability}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {8}, pages = {994--1002}, year = {2001}, url = {https://doi.org/10.1109/43.936380}, doi = {10.1109/43.936380}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FallahDK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FallahDK01a, author = {Farzan Fallah and Srinivas Devadas and Kurt Keutzer}, title = {OCCOM-efficient computation of observability-based code coveragemetrics for functional verification}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {20}, number = {8}, pages = {1003--1015}, year = {2001}, url = {https://doi.org/10.1109/43.936381}, doi = {10.1109/43.936381}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FallahDK01a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SchaumontVKS01, author = {Patrick Schaumont and Ingrid Verbauwhede and Kurt Keutzer and Majid Sarrafzadeh}, title = {A Quick Safari Through the Reconfiguration Jungle}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {172--177}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.378404}, doi = {10.1145/378239.378404}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SchaumontVKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChinneryNK01, author = {David G. Chinnery and Borivoje Nikolic and Kurt Keutzer}, title = {Achieving 550Mhz in an {ASIC} Methodology}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {420--425}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.378542}, doi = {10.1145/378239.378542}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChinneryNK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SgroiSMKMRS01, author = {Marco Sgroi and Michael Sheets and Andrew Mihal and Kurt Keutzer and Sharad Malik and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {667--672}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379045}, doi = {10.1145/378239.379045}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SgroiSMKMRS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VictorK01, author = {Bret M. Victor and Kurt Keutzer}, editor = {Rolf Ernst}, title = {Bus Encoding to Prevent Crosstalk Delay}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {57}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968598}, doi = {10.1109/ICCAD.2001.968598}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VictorK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/TasiranFCWK01, author = {Serdar Tasiran and Farzan Fallah and David G. Chinnery and Scott J. Weber and Kurt Keutzer}, title = {A Functional Validation Technique: Biased-Random Simulation Guided by Observability-Based Coverage}, booktitle = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, pages = {82--88}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCD.2001.955007}, doi = {10.1109/ICCD.2001.955007}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/TasiranFCWK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChenKK01, author = {Pinhong Chen and Kurt Keutzer and Desmond Kirkpatrick}, title = {Scripting for {EDA} Tools: {A} Case Study}, booktitle = {2nd International Symposium on Quality of Electronic Design {(ISQED} 2001), 26-28 March 2001, San Jose, CA, {USA}}, pages = {87--93}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISQED.2001.915211}, doi = {10.1109/ISQED.2001.915211}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ChenKK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SylvesterK00, author = {Dennis Sylvester and Kurt Keutzer}, title = {A global wiring paradigm for deep submicron design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {2}, pages = {242--252}, year = {2000}, url = {https://doi.org/10.1109/43.828553}, doi = {10.1109/43.828553}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SylvesterK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KeutzerNRS00, author = {Kurt Keutzer and A. Richard Newton and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {System-level design: orthogonalization of concerns andplatform-based design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {12}, pages = {1523--1543}, year = {2000}, url = {https://doi.org/10.1109/43.898830}, doi = {10.1109/43.898830}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KeutzerNRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChinneyK00, author = {David G. Chinnery and Kurt Keutzer}, editor = {Giovanni De Micheli}, title = {Closing the gap between {ASIC} and custom: an {ASIC} perspective}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {637--642}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337602}, doi = {10.1145/337292.337602}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChinneyK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OrshanskyMCKH00, author = {Michael Orshansky and Linda Milor and Pinhong Chen and Kurt Keutzer and Chenming Hu}, editor = {Ellen Sentovich}, title = {Impact of Systematic Spatial Intra-Chip Gate Length Variability on Performance of High-Speed Digital Circuits}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {62--67}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896452}, doi = {10.1109/ICCAD.2000.896452}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OrshanskyMCKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenKK00, author = {Pinhong Chen and Desmond Kirkpatrick and Kurt Keutzer}, editor = {Ellen Sentovich}, title = {Miller Factor for Gate-Level Coupling Delay Calculation}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {68--74}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896453}, doi = {10.1109/ICCAD.2000.896453}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenKK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenKK00a, author = {Pinhong Chen and Desmond Kirkpatrick and Kurt Keutzer}, editor = {Ellen Sentovich}, title = {Switching Window Computation for Static Timing Analysis in Presence of Crosstalk Noise}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {331--337}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896494}, doi = {10.1109/ICCAD.2000.896494}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenKK00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/SylvesterK99, author = {Dennis Sylvester and Kurt Keutzer}, title = {Rethinking Deep-Submicron Circuit Design}, journal = {Computer}, volume = {32}, number = {11}, pages = {25--33}, year = {1999}, url = {https://doi.org/10.1109/2.803637}, doi = {10.1109/2.803637}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/SylvesterK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LiaoDK99, author = {Stan Y. Liao and Srinivas Devadas and Kurt Keutzer}, title = {A text-compression-based method for code size minimization in embedded systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {4}, number = {1}, pages = {12--38}, year = {1999}, url = {https://doi.org/10.1145/298865.298867}, doi = {10.1145/298865.298867}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/LiaoDK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PrasadCK99, author = {Mukul R. Prasad and Philip Chong and Kurt Keutzer}, editor = {Mary Jane Irwin}, title = {Why is {ATPG} Easy?}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {22--28}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309857}, doi = {10.1145/309847.309857}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PrasadCK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CamposanoKFSL99, author = {Raul Camposano and Kurt Keutzer and Jerry Fiddler and Alberto L. Sangiovanni{-}Vincentelli and Jim Lansford}, editor = {Mary Jane Irwin}, title = {{HW} and {SW} in Embedded System Design: Loveboat, Shipwreck, or Ships Passing in the Night}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {76--77}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309877}, doi = {10.1145/309847.309877}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CamposanoKFSL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KeutzerWPMLLB99, author = {Kurt Keutzer and Kurt Wolf and David Pietromonaco and Jay Maxey and Jeff Lewis and Martin Lefebvre and Jeff Burns}, editor = {Mary Jane Irwin}, title = {Panel: Cell Libraries - Build vs. Buy; Static vs. Dynamic}, booktitle = {Proceedings of the 36th Conference on Design Automation, New Orleans, LA, USA, June 21-25, 1999}, pages = {341--342}, publisher = {{ACM} Press}, year = {1999}, url = {https://doi.org/10.1145/309847.309951}, doi = {10.1145/309847.309951}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KeutzerWPMLLB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenK99, author = {Pinhong Chen and Kurt Keutzer}, editor = {Jacob K. White and Ellen Sentovich}, title = {Towards true crosstalk noise analysis}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {132--138}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCAD.1999.810637}, doi = {10.1109/ICCAD.1999.810637}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KeutzerN99, author = {Kurt Keutzer and A. Richard Newton}, title = {The {MARCO/DARPA} Gigascale Silicon Research Center}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design, {VLSI} in Computers and Processors, {ICCD} '99, Austin, Texas, USA, October 10-13, 1999}, pages = {14}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICCD.1999.808257}, doi = {10.1109/ICCD.1999.808257}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KeutzerN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/SylvesterK99, author = {Dennis Sylvester and Kurt Keutzer}, editor = {D. F. Wong}, title = {Getting to the bottom of deep submicron {II:} a global wiring paradigm}, booktitle = {Proceedings of the 1999 International Symposium on Physical Design, {ISPD} 1999, Monterey, CA, USA, April 12-14, 1999}, pages = {193--200}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/299996.300073}, doi = {10.1145/299996.300073}, timestamp = {Sun, 02 Oct 2022 16:10:02 +0200}, biburl = {https://dblp.org/rec/conf/ispd/SylvesterK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/LiaoDKTW98, author = {Stan Y. Liao and Srinivas Devadas and Kurt Keutzer and Steven W. K. Tjiang and Albert R. Wang}, title = {Code Optimization Techniques in Embedded {DSP} Microprocessors}, journal = {Des. Autom. Embed. Syst.}, volume = {3}, number = {1}, pages = {59--73}, year = {1998}, url = {https://doi.org/10.1023/A:1008803430710}, doi = {10.1023/A:1008803430710}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/LiaoDKTW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiaoDK98, author = {Stan Y. Liao and Srinivas Devadas and Kurt Keutzer}, title = {Code density optimization for embedded {DSP} processors using data compression techniques}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {17}, number = {7}, pages = {601--608}, year = {1998}, url = {https://doi.org/10.1109/43.709398}, doi = {10.1109/43.709398}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiaoDK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LiaoKTD98, author = {Stan Y. Liao and Kurt Keutzer and Steven W. K. Tjiang and Srinivas Devadas}, title = {A new viewpoint on code generation for directed acyclic graphs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {3}, number = {1}, pages = {51--75}, year = {1998}, url = {https://doi.org/10.1145/270580.270583}, doi = {10.1145/270580.270583}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/LiaoKTD98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FallahDK98a, author = {Farzan Fallah and Srinivas Devadas and Kurt Keutzer}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {{OCCOM:} Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {152--157}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277078}, doi = {10.1145/277044.277078}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FallahDK98a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FallahDK98, author = {Farzan Fallah and Srinivas Devadas and Kurt Keutzer}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Functional Vector Generation for {HDL} Models Using Linear Programming and 3-Satisfiability}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {528--533}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277187}, doi = {10.1145/277044.277187}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FallahDK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SylvesterK98, author = {Dennis Sylvester and Kurt Keutzer}, editor = {Hiroto Yasuura}, title = {Getting to the bottom of deep submicron}, booktitle = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998}, pages = {203--211}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1145/288548.288614}, doi = {10.1145/288548.288614}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SylvesterK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DevadasK98, author = {Srinivas Devadas and Kurt Keutzer}, title = {An algorithmic approach to optimizing fault coverage for {BIST} logic synthesis}, booktitle = {Proceedings {IEEE} International Test Conference 1998, Washington, DC, USA, October 18-22, 1998}, pages = {164--173}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/TEST.1998.743149}, doi = {10.1109/TEST.1998.743149}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DevadasK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MonteiroDGKW97, author = {Jos{\'{e}} Monteiro and Srinivas Devadas and Abhijit Ghosh and Kurt Keutzer and Jacob K. White}, title = {Estimation of average switching activity in combinational logic circuits using symbolic simulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {1}, pages = {121--127}, year = {1997}, url = {https://doi.org/10.1109/43.559336}, doi = {10.1109/43.559336}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MonteiroDGKW97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/Keutzer97, author = {Kurt Keutzer}, editor = {Carl Ebeling}, title = {Challenges in {CAD} for the One Million Gate {FPGA}}, booktitle = {Proceedings of the 1997 {ACM/SIGDA} Fifth International Symposium on Field Programmable Gate Arrays, {FPGA} 1997, Monterey, CA, USA, February 9-11, 1997}, pages = {133--134}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/258305.258326}, doi = {10.1145/258305.258326}, timestamp = {Tue, 06 Nov 2018 16:58:23 +0100}, biburl = {https://dblp.org/rec/conf/fpga/Keutzer97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/KeutzerNS97, author = {Kurt Keutzer and A. Richard Newton and Narendra V. Shenoy}, editor = {Andrew B. Kahng and Majid Sarrafzadeh}, title = {The future of logic synthesis and physical design in deep-submicron process geometries}, booktitle = {Proceedings of the 1997 International Symposium on Physical Design, {ISPD} 1997, Napa Valley, California, USA, April 14-16, 1997}, pages = {218--224}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/267665.267725}, doi = {10.1145/267665.267725}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/KeutzerNS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasK96, author = {Srinivas Devadas and Kurt Keutzer}, title = {Addendum to "Synthesis of robust delay-fault testable circuits: Theory"}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {4}, pages = {445--446}, year = {1996}, url = {https://doi.org/10.1109/43.494708}, doi = {10.1109/43.494708}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/LiaoDKTW96, author = {Stan Y. Liao and Srinivas Devadas and Kurt Keutzer and Steven W. K. Tjiang and Albert R. Wang}, title = {Storage Assignment to Decrease Code Size}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {18}, number = {3}, pages = {235--253}, year = {1996}, url = {https://doi.org/10.1145/229542.229543}, doi = {10.1145/229542.229543}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toplas/LiaoDKTW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fmcad/Keutzer96, author = {Kurt Keutzer}, editor = {Mandayam K. Srivas and Albert John Camilleri}, title = {The Need for Formal Methods for Integrated Circuit Design}, booktitle = {Formal Methods in Computer-Aided Design, First International Conference, {FMCAD} '96, Palo Alto, California, USA, November 6-8, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1166}, pages = {1--18}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0031796}, doi = {10.1007/BFB0031796}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/fmcad/Keutzer96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DevadasGK96, author = {Srinivas Devadas and Abhijit Ghosh and Kurt Keutzer}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {An observability-based code coverage metric for functional simulation}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {418--425}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569832}, doi = {10.1109/ICCAD.1996.569832}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DevadasGK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KeutzerCH96, author = {Kurt Keutzer and Olivier Coudert and Ramsey W. Haddad}, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {What is the state of the art in commercial {EDA} tools for low power?}, booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, pages = {181--187}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/LPE.1996.547503}, doi = {10.1109/LPE.1996.547503}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/islped/KeutzerCH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KeutzerM96, author = {Kurt Keutzer and Sharad Malik}, title = {Register Transfer Level Synthesis: From Theory to Practice}, booktitle = {9th International Conference on {VLSI} Design {(VLSI} Design 1996), 3-6 January 1996, Bangalore, India}, pages = {2}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.ieeecomputersociety.org/10.1109/VLSID.1996.10009}, doi = {10.1109/VLSID.1996.10009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/KeutzerM96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ChandrakasanKKMPS96, author = {Anantha P. Chandrakasan and Kurt Keutzer and A. Khandekar and S. L. Maskara and B. D. Pradhan and Mani B. Srivastava}, title = {Mobile Communications: Demands on {VLSI} Technology, Design and {CAD}}, booktitle = {9th International Conference on {VLSI} Design {(VLSI} Design 1996), 3-6 January 1996, Bangalore, India}, pages = {432--436}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.ieeecomputersociety.org/10.1109/VLSID.1996.10016}, doi = {10.1109/VLSID.1996.10016}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ChandrakasanKKMPS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LavagnoKS95, author = {Luciano Lavagno and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis of hazard-free asynchronous circuits with bounded wire delays}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {1}, pages = {61--86}, year = {1995}, url = {https://doi.org/10.1109/43.363123}, doi = {10.1109/43.363123}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LavagnoKS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KeutzerLS95, author = {Kurt Keutzer and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis for testability techniques for asynchronous circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {12}, pages = {1569--1577}, year = {1995}, url = {https://doi.org/10.1109/43.476586}, doi = {10.1109/43.476586}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KeutzerLS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arvlsi/LiaoDK95, author = {Stan Y. Liao and Srinivas Devadas and Kurt Keutzer}, title = {Code density optimization for embedded {DSP} processors using data compression techniques}, booktitle = {16th Conference on Advanced Research in {VLSI} {(ARVLSI} '95), March 27-29, 1995, Chapel Hill, North Carolina, {USA}}, pages = {272--285}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ARVLSI.1995.515626}, doi = {10.1109/ARVLSI.1995.515626}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arvlsi/LiaoDK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiaoDKTW95, author = {Stan Y. Liao and Srinivas Devadas and Kurt Keutzer and Steven W. K. Tjiang and Albert R. Wang}, editor = {Bryan Preas}, title = {Code Optimization Techniques for Embedded {DSP} Microprocessors}, booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995}, pages = {599--604}, publisher = {{ACM} Press}, year = {1995}, url = {https://doi.org/10.1145/217474.217596}, doi = {10.1145/217474.217596}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiaoDKTW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VanbekbergenWK95, author = {Peter Vanbekbergen and Albert R. Wang and Kurt Keutzer}, editor = {Bryan Preas}, title = {A Design and Validation System for Asynchronous Circuits}, booktitle = {Proceedings of the 32st Conference on Design Automation, San Francisco, California, USA, Moscone Center, June 12-16, 1995}, pages = {725--730}, publisher = {{ACM} Press}, year = {1995}, url = {https://doi.org/10.1145/217474.217618}, doi = {10.1145/217474.217618}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VanbekbergenWK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiaoDKT95, author = {Stan Y. Liao and Srinivas Devadas and Kurt Keutzer and Steven W. K. Tjiang}, editor = {Richard L. Rudell}, title = {Instruction selection using binate covering for code size optimization}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {393--399}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480146}, doi = {10.1109/ICCAD.1995.480146}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiaoDKT95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/LiaoDKTW95, author = {Stan Y. Liao and Srinivas Devadas and Kurt Keutzer and Steven W. K. Tjiang and Albert R. Wang}, editor = {David W. Wall}, title = {Storage Assignment to Decrease Code Size}, booktitle = {Proceedings of the {ACM} SIGPLAN'95 Conference on Programming Language Design and Implementation (PLDI), La Jolla, California, USA, June 18-21, 1995}, pages = {186--195}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/207110.207139}, doi = {10.1145/207110.207139}, timestamp = {Thu, 08 Jul 2021 16:04:02 +0200}, biburl = {https://dblp.org/rec/conf/pldi/LiaoDKTW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/1995, editor = {Massoud Pedram and Robert W. Brodersen and Kurt Keutzer}, title = {Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995}, publisher = {{ACM}}, year = {1995}, isbn = {0-89791-744-8}, timestamp = {Tue, 01 Jun 2004 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/1995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasKMW94, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik and Albert R. Wang}, title = {Event suppression: improving the efficiency of timing simulation for synchronous digital circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {6}, pages = {814--822}, year = {1994}, url = {https://doi.org/10.1109/43.285254}, doi = {10.1109/43.285254}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasKMW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/DevadasKMW94, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik and Albert R. Wang}, title = {Certified timing verification and the transition delay of a logic circuit}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {2}, number = {3}, pages = {333--342}, year = {1994}, url = {https://doi.org/10.1109/92.311642}, doi = {10.1109/92.311642}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/DevadasKMW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/DevadasKMW94, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik and Albert R. Wang}, title = {Verification of asynchronous interface circuits with bounded wire delays}, journal = {J. {VLSI} Signal Process.}, volume = {7}, number = {1-2}, pages = {161--182}, year = {1994}, url = {https://doi.org/10.1007/BF02108195}, doi = {10.1007/BF02108195}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/DevadasKMW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Keutzer94, author = {Kurt Keutzer}, editor = {Michael J. Lorenzetti}, title = {Hardware-Software Co-Design and {ESDA}}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {435--436}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196454}, doi = {10.1145/196244.196454}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Keutzer94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/AraujoDKLMSTW94, author = {Guido Araujo and Srinivas Devadas and Kurt Keutzer and Stan Y. Liao and Sharad Malik and Ashok Sudarsanam and Steven W. K. Tjiang and Albert R. Wang}, editor = {Peter Marwedel and Gert Goossens}, title = {Challenges in code generation for embedded processors}, booktitle = {Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl, Germany, August 31 - September 2, 1994]}, pages = {48--64}, publisher = {Kluwer}, year = {1994}, timestamp = {Tue, 19 Jun 2018 18:52:39 +0200}, biburl = {https://dblp.org/rec/conf/dagstuhl/AraujoDKLMSTW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/DevadasKM93, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik}, title = {A synthesis-based test generation and compaction algorithm for multifaults}, journal = {J. Electron. Test.}, volume = {4}, number = {1}, pages = {91--104}, year = {1993}, url = {https://doi.org/10.1007/BF00971942}, doi = {10.1007/BF00971942}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/DevadasKM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fmsd/AsharDK93, author = {Pranav Ashar and Srinivas Devadas and Kurt Keutzer}, title = {Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks}, journal = {Formal Methods Syst. Des.}, volume = {2}, number = {1}, pages = {93--112}, year = {1993}, url = {https://doi.org/10.1007/BF01383945}, doi = {10.1007/BF01383945}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fmsd/AsharDK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/AsharDK93, author = {Pranav Ashar and Srinivas Devadas and Kurt Keutzer}, title = {Path-delay-fault testability properties of multiplexor-based networks}, journal = {Integr.}, volume = {15}, number = {1}, pages = {1--23}, year = {1993}, url = {https://doi.org/10.1016/0167-9260(93)90002-T}, doi = {10.1016/0167-9260(93)90002-T}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/AsharDK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChengDK93, author = {Kwang{-}Ting Cheng and Srinivas Devadas and Kurt Keutzer}, title = {Delay-fault test generation and synthesis for testability under a standard scan design methodology}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {8}, pages = {1217--1231}, year = {1993}, url = {https://doi.org/10.1109/43.238614}, doi = {10.1109/43.238614}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChengDK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasKM93, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik}, title = {Computation of floating mode delay in combinational circuits: theory and algorithms}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {12}, pages = {1913--1923}, year = {1993}, url = {https://doi.org/10.1109/43.251155}, doi = {10.1109/43.251155}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasKM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasKMW93, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik and Albert R. Wang}, title = {Computation of floating mode delay in combinational circuits: practice and implementation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {12}, pages = {1924--1936}, year = {1993}, url = {https://doi.org/10.1109/43.251156}, doi = {10.1109/43.251156}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasKMW93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JyuMDK93, author = {Horng{-}Fei Jyu and Sharad Malik and Srinivas Devadas and Kurt Keutzer}, title = {Statistical timing analysis of combinational logic circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {1}, number = {2}, pages = {126--137}, year = {1993}, url = {https://doi.org/10.1109/92.238423}, doi = {10.1109/92.238423}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/JyuMDK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/BryanDK93, author = {Michael J. Bryan and Srinivas Devadas and Kurt Keutzer}, title = {Analysis and Design of Regular Structures for Robust Dynamic Fault Testability}, journal = {{VLSI} Design}, volume = {1}, number = {1}, pages = {45--60}, year = {1993}, url = {https://doi.org/10.1155/1993/38536}, doi = {10.1155/1993/38536}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsi/BryanDK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Keutzer93, author = {Kurt Keutzer}, editor = {Alfred E. Dunlop}, title = {What is the Next Big Productivity Boost for Designers? (Panel Abstract)}, booktitle = {Proceedings of the 30th Design Automation Conference. Dallas, Texas, USA, June 14-18, 1993}, pages = {141}, publisher = {{ACM} Press}, year = {1993}, url = {https://doi.org/10.1145/157485.164639}, doi = {10.1145/157485.164639}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Keutzer93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasK92, author = {Srinivas Devadas and Kurt Keutzer}, title = {Synthesis of robust delay-fault-testable circuits: theory}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {1}, pages = {87--101}, year = {1992}, url = {https://doi.org/10.1109/43.108622}, doi = {10.1109/43.108622}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasK92a, author = {Srinivas Devadas and Kurt Keutzer}, title = {Synthesis of robust delay-fault-testable circuits: practice}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {3}, pages = {277--300}, year = {1992}, url = {https://doi.org/10.1109/43.124416}, doi = {10.1109/43.124416}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasK92a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HachtelJKM92, author = {Gary D. Hachtel and Reily M. Jacoby and Kurt Keutzer and Christopher R. Morrison}, title = {On properties of algebraic transformations and the synthesis of multifault-irredundant circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {3}, pages = {313--321}, year = {1992}, url = {https://doi.org/10.1109/43.124418}, doi = {10.1109/43.124418}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HachtelJKM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasKW92, author = {Srinivas Devadas and Kurt Keutzer and Jacob K. White}, title = {Estimation of power dissipation in {CMOS} combinational circuits using Boolean function manipulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {3}, pages = {373--383}, year = {1992}, url = {https://doi.org/10.1109/43.124424}, doi = {10.1109/43.124424}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasKW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BryanDK92, author = {Michael J. Bryan and Srinivas Devadas and Kurt Keutzer}, title = {Necessary and sufficient conditions for hazard-free robust transistor stuck-open-fault testability in multilevel networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {6}, pages = {800--803}, year = {1992}, url = {https://doi.org/10.1109/43.137525}, doi = {10.1109/43.137525}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BryanDK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasK92b, author = {Srinivas Devadas and Kurt Keutzer}, title = {Validatable nonrobust delay-fault testable circuits via logic synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {11}, number = {12}, pages = {1559--1573}, year = {1992}, url = {https://doi.org/10.1109/43.180267}, doi = {10.1109/43.180267}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasK92b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhoshDKW92, author = {Abhijit Ghosh and Srinivas Devadas and Kurt Keutzer and Jacob K. White}, editor = {Daniel G. Schweikert}, title = {Estimation of Average Switching Activity in Combinational and Sequential Circuits}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {253--259}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149428}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GhoshDKW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DevadasKMW92, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik and Albert R. Wang}, editor = {Daniel G. Schweikert}, title = {Certified Timing Verification and the Transition Delay of a Logic Circuit}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {549--555}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149628}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DevadasKMW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DevadasKMW92, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik and Albert R. Wang}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Verification of asynchronous interface circuits with bounded wire delays}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {188--195}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279376}, doi = {10.1109/ICCAD.1992.279376}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DevadasKMW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShenGDK92, author = {Amelia Shen and Abhijit Ghosh and Srinivas Devadas and Kurt Keutzer}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {On average power dissipation and random pattern testability of {CMOS} combinational logic networks}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {402--407}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279338}, doi = {10.1109/ICCAD.1992.279338}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShenGDK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DevadasJKM92, author = {Srinivas Devadas and Horng{-}Fei Jyu and Kurt Keutzer and Sharad Malik}, title = {Statistical Timing Analysis of Combinational Circuits}, booktitle = {Proceedings 1992 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '92, Cambridge, MA, USA, October 11-14, 1992}, pages = {38--43}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICCD.1992.276210}, doi = {10.1109/ICCD.1992.276210}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/DevadasJKM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/DevadasK91, author = {Srinivas Devadas and Kurt Keutzer}, title = {An automata-theoretic approach to behavioral equivalence}, journal = {Integr.}, volume = {12}, number = {2}, pages = {109--129}, year = {1991}, url = {https://doi.org/10.1016/0167-9260(91)90032-G}, doi = {10.1016/0167-9260(91)90032-G}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/DevadasK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/DevadasK91, author = {Srinivas Devadas and Kurt Keutzer}, title = {A unified approach to the synthesis of fully testable sequential machines}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {1}, pages = {39--50}, year = {1991}, url = {https://doi.org/10.1109/43.62790}, doi = {10.1109/43.62790}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/DevadasK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KeutzerMS91, author = {Kurt Keutzer and Sharad Malik and Alexander Saldanha}, title = {Is redundancy necessary to reduce delay?}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {4}, pages = {427--435}, year = {1991}, url = {https://doi.org/10.1109/43.75626}, doi = {10.1109/43.75626}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KeutzerMS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChengDK91, author = {Kwang{-}Ting Cheng and Srinivas Devadas and Kurt Keutzer}, editor = {A. Richard Newton}, title = {Robust Delay-Fault Test Generation and Synthesis for Testability Under {A} Standard Scan Design Methodology}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {80--86}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127632}, doi = {10.1145/127601.127632}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChengDK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LavagnoKS91, author = {Luciano Lavagno and Kurt Keutzer and Alberto L. Sangiovanni{-}Vincentelli}, editor = {A. Richard Newton}, title = {Algorithms for Synthesis of Hazard-Free Asynchronous Circuits}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {302--308}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127685}, doi = {10.1145/127601.127685}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LavagnoKS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DevadasKM91, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik}, editor = {A. Richard Newton}, title = {A Synthesis-Based Test Generation and Compaction Algorithm for Multifaults}, booktitle = {Proceedings of the 28th Design Automation Conference, San Francisco, California, USA, June 17-21, 1991}, pages = {359--365}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/127601.127694}, doi = {10.1145/127601.127694}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DevadasKM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DevadasKM91, author = {Srinivas Devadas and Kurt Keutzer and Sharad Malik}, title = {Delay Computation in Combinational Logic Circuits: Theory and Algorithms}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {176--179}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185224}, doi = {10.1109/ICCAD.1991.185224}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/DevadasKM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KeutzerLS91, author = {Kurt Keutzer and Luciano Lavagno and Alberto L. Sangiovanni{-}Vincentelli}, title = {Synthesis for Testability Techniques for Asynchronous Circuits}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {326--329}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185266}, doi = {10.1109/ICCAD.1991.185266}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KeutzerLS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DevadasKK91, author = {Srinivas Devadas and Kurt Keutzer and A. S. Krishnakumar}, title = {Design Verfication and Reachability Analysis Using Algebraic Manipulation}, booktitle = {Proceedings 1991 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '91, Cambridge, MA, USA, October 14-16, 1991}, pages = {250--258}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCD.1991.139892}, doi = {10.1109/ICCD.1991.139892}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DevadasKK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChengDK91, author = {Kwang{-}Ting Cheng and Srinivas Devadas and Kurt Keutzer}, title = {A Partial Enhanced-Scan Approach to Robust Delay-Fault Test Generation for Sequential Circuits}, booktitle = {Proceedings {IEEE} International Test Conference 1991, Test: Faster, Better, Sooner, Nashville, TN, USA, October 26-30, 1991}, pages = {403--410}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/TEST.1991.519700}, doi = {10.1109/TEST.1991.519700}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChengDK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AsharDK91, author = {Pranav Ashar and Srinivas Devadas and Kurt Keutzer}, title = {Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks}, booktitle = {Proceedings {IEEE} International Test Conference 1991, Test: Faster, Better, Sooner, Nashville, TN, USA, October 26-30, 1991}, pages = {887--896}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/TEST.1991.519755}, doi = {10.1109/TEST.1991.519755}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/AsharDK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tphol/Keutzer91, author = {Kurt Keutzer}, editor = {Myla Archer and Jeffrey J. Joyce and Karl N. Levitt and Phillip J. Windley}, title = {The Need for Formal Verification in Hardware Design and What Formal Verification Has Not Done for Me Lately}, booktitle = {Proceedings of the 1991 International Workshop on the {HOL} Theorem Proving System and its Applications, August 1991, Davis, California, {USA}}, pages = {77--86}, publisher = {{IEEE} Computer Society}, year = {1991}, timestamp = {Wed, 17 Apr 2002 08:13:51 +0200}, biburl = {https://dblp.org/rec/conf/tphol/Keutzer91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/DevadasKG91, author = {Srinivas Devadas and Kurt Keutzer and Abhijit Ghosh}, title = {Recent progress in synthesis for testability}, booktitle = {9th {IEEE} {VLSI} Test Symposium (VTS'91), 15-17 Apr 1991, Atlantic City, NJ, {USA}}, pages = {22--29}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/VTEST.1991.208127}, doi = {10.1109/VTEST.1991.208127}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/DevadasKG91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DevadasK90, author = {Srinivas Devadas and Kurt Keutzer}, editor = {Richard C. Smith}, title = {Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {221--227}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123262}, doi = {10.1145/123186.123262}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DevadasK90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KeutzerMS90, author = {Kurt Keutzer and Sharad Malik and Alexander Saldanha}, editor = {Richard C. Smith}, title = {Is Redundancy Necessary to Reduce Delay}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {228--234}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.128295}, doi = {10.1145/123186.128295}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KeutzerMS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Keutzer90, author = {Kurt Keutzer}, editor = {Richard C. Smith}, title = {Impact and Evaluation of Competing Implementation Media for ASIC's (Panel Abstract)}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {600}, publisher = {{IEEE} Computer Society Press}, year = {1990}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Keutzer90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DevadasK90, author = {Srinivas Devadas and Kurt Keutzer}, title = {An Automata-Theoretic Approach to Behavioral Equivalence}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {30--33}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129832}, doi = {10.1109/ICCAD.1990.129832}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DevadasK90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BryanDK90, author = {Michael J. Bryan and Srinivas Devadas and Kurt Keutzer}, title = {Testability-Preserving Circuit Transformations}, booktitle = {{IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1990, Santa Clara, CA, USA, November 11-15, 1990. Digest of Technical Papers}, pages = {456--459}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCAD.1990.129952}, doi = {10.1109/ICCAD.1990.129952}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BryanDK90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DevadasK90, author = {Srinivas Devadas and Kurt Keutzer}, title = {Design of integrated circuits fully testable for delay-faults and multifaults}, booktitle = {Proceedings {IEEE} International Test Conference 1990, Washington, D.C., USA, September 10-14, 1990}, pages = {284--293}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/TEST.1990.114034}, doi = {10.1109/TEST.1990.114034}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DevadasK90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WolfKA89, author = {Wayne H. Wolf and Kurt Keutzer and Janaki Akella}, title = {Addendum to 'A kernel-finding state assignment algorithm for multi-level logic'}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {8}, pages = {925--927}, year = {1989}, url = {https://doi.org/10.1109/43.31552}, doi = {10.1109/43.31552}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WolfKA89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Keutzer89, author = {Kurt Keutzer}, editor = {Donald E. Thomas}, title = {Three Competing Design Methodologies for ASIC's: Architectual Synthesis, Logic Synthesis, Logic Synthesis and Module Generation}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {308--313}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74434}, doi = {10.1145/74382.74434}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Keutzer89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DevadasK89, author = {Srinivas Devadas and Kurt Keutzer}, title = {Boolean minimization and algebraic factorization procedures for fully testable sequential machines}, booktitle = {1989 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}, pages = {208--211}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/ICCAD.1989.76937}, doi = {10.1109/ICCAD.1989.76937}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DevadasK89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HachtelJKM89, author = {Gary D. Hachtel and Reily M. Jacoby and Kurt Keutzer and Christopher R. Morrison}, title = {On properties of algebraic transformation and the multifault testability of multilevel logic}, booktitle = {1989 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}, pages = {422--425}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/ICCAD.1989.76983}, doi = {10.1109/ICCAD.1989.76983}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HachtelJKM89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WolfKA88, author = {Wayne H. Wolf and Kurt Keutzer and Janaki Akella}, editor = {Dennis W. Shaklee and A. Richard Newton}, title = {A Kernel-Finding State Assignment Algorithm for Multi-Level Logic}, booktitle = {Proceedings of the 25th {ACM/IEEE} Conference on Design Automation, {DAC} '88, Anaheim, CA, USA, June 12-15, 1988}, pages = {433--438}, publisher = {{ACM}}, year = {1988}, url = {http://portal.acm.org/citation.cfm?id=285730.285800}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/dac/WolfKA88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/KeutzerW88, author = {Kurt Keutzer and Wayne H. Wolf}, editor = {Richard L. Wexelblat}, title = {Anatomy of a Hardware Compiler}, booktitle = {Proceedings of the {ACM} SIGPLAN'88 Conference on Programming Language Design and Implementation (PLDI), Atlanta, Georgia, USA, June 22-24, 1988}, pages = {95--104}, publisher = {{ACM}}, year = {1988}, url = {https://doi.org/10.1145/53990.54000}, doi = {10.1145/53990.54000}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/KeutzerW88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Keutzer87, author = {Kurt Keutzer}, editor = {A. O'Neill and D. Thomas}, title = {{DAGON:} Technology Binding and Local Optimization by {DAG} Matching}, booktitle = {Proceedings of the 24th {ACM/IEEE} Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987}, pages = {341--347}, publisher = {{IEEE} Computer Society Press / {ACM}}, year = {1987}, url = {https://doi.org/10.1145/37888.37940}, doi = {10.1145/37888.37940}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Keutzer87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.