BibTeX records: Jangwoo Kim

download as .bib file

@inproceedings{DBLP:conf/asplos/KimMCJBCHK24,
  author       = {Junpyo Kim and
                  Dongmoon Min and
                  Jungmin Cho and
                  Hyeonseong Jeong and
                  Ilkwon Byun and
                  Junhyuk Choi and
                  Juwon Hong and
                  Jangwoo Kim},
  editor       = {Rajiv Gupta and
                  Nael B. Abu{-}Ghazaleh and
                  Madan Musuvathi and
                  Dan Tsafrir},
  title        = {A Fault-Tolerant Million Qubit-Scale Distributed Quantum Computer},
  booktitle    = {Proceedings of the 29th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Volume 2,
                  {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024},
  pages        = {1--19},
  publisher    = {{ACM}},
  year         = {2024},
  url          = {https://doi.org/10.1145/3620665.3640388},
  doi          = {10.1145/3620665.3640388},
  timestamp    = {Sat, 04 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/KimMCJBCHK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/HurNKKBNK23,
  author       = {Suyeon Hur and
                  Seongmin Na and
                  Dongup Kwon and
                  Joonsung Kim and
                  Andrew Boutros and
                  Eriko Nurvitadhi and
                  Jangwoo Kim},
  title        = {A Fast and Flexible FPGA-based Accelerator for Natural Language Processing
                  Neural Networks},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {20},
  number       = {1},
  pages        = {11:1--11:24},
  year         = {2023},
  url          = {https://doi.org/10.1145/3564606},
  doi          = {10.1145/3564606},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/HurNKKBNK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/BaekLKK23,
  author       = {Eunjin Baek and
                  Eunbok Lee and
                  Taehun Kang and
                  Jangwoo Kim},
  title        = {STfusion: Fast and Flexible Multi-NN Execution Using Spatio-Temporal
                  Block Fusion and Memory Management},
  journal      = {{IEEE} Trans. Computers},
  volume       = {72},
  number       = {4},
  pages        = {1194--1207},
  year         = {2023},
  url          = {https://doi.org/10.1109/TC.2022.3218428},
  doi          = {10.1109/TC.2022.3218428},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/BaekLKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/MinKCBTIK23,
  author       = {Dongmoon Min and
                  Junpyo Kim and
                  Junhyuk Choi and
                  Ilkwon Byun and
                  Masamitsu Tanaka and
                  Koji Inoue and
                  Jangwoo Kim},
  editor       = {Yan Solihin and
                  Mark A. Heinrich},
  title        = {QIsim: Architecting 10+K Qubit {QC} Interfaces Toward Quantum Supremacy},
  booktitle    = {Proceedings of the 50th Annual International Symposium on Computer
                  Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023},
  pages        = {1:1--1:16},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3579371.3589036},
  doi          = {10.1145/3579371.3589036},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/MinKCBTIK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/BooCBNKK23,
  author       = {Junehyuk Boo and
                  Yujin Chung and
                  Eunjin Baek and
                  Seongmin Na and
                  Changsu Kim and
                  Jangwoo Kim},
  editor       = {Yan Solihin and
                  Mark A. Heinrich},
  title        = {{F4T:} {A} Fast and Flexible FPGA-based Full-stack {TCP} Acceleration
                  Framework},
  booktitle    = {Proceedings of the 50th Annual International Symposium on Computer
                  Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023},
  pages        = {55:1--55:13},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3579371.3589090},
  doi          = {10.1145/3579371.3589090},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/BooCBNKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/middleware/LeeMBJK23,
  author       = {Jaewon Lee and
                  Dongmoon Min and
                  Ilkwon Byun and
                  Hanhwi Jang and
                  Jangwoo Kim},
  title        = {Fast, Light-weight, and Accurate Performance Evaluation using Representative
                  Datacenter Behaviors},
  booktitle    = {Proceedings of the 24th International Middleware Conference, Middleware
                  2023, Bologna, Italy, December 11-15, 2023},
  pages        = {220--233},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3590140.3629117},
  doi          = {10.1145/3590140.3629117},
  timestamp    = {Sun, 10 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/middleware/LeeMBJK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/JangKKCJLCKJ22,
  author       = {Hamin Jang and
                  Taehun Kang and
                  Joonsung Kim and
                  Jaeyong Cho and
                  Jae{-}Eon Jo and
                  Seungwook Lee and
                  Wooseok Chang and
                  Jangwoo Kim and
                  Hanhwi Jang},
  title        = {LSim: Fine-Grained Simulation Framework for Large-Scale Performance
                  Evaluation},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {21},
  number       = {1},
  pages        = {25--28},
  year         = {2022},
  url          = {https://doi.org/10.1109/LCA.2022.3168831},
  doi          = {10.1109/LCA.2022.3168831},
  timestamp    = {Thu, 02 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/JangKKCJLCKJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tos/KwonLKBK22,
  author       = {Dongup Kwon and
                  Wonsik Lee and
                  Dongryeong Kim and
                  Junehyuk Boo and
                  Jangwoo Kim},
  title        = {SmartFVM: {A} Fast, Flexible, and Scalable Hardware-based Virtualization
                  for Commodity Storage Devices},
  journal      = {{ACM} Trans. Storage},
  volume       = {18},
  number       = {2},
  pages        = {12:1--12:27},
  year         = {2022},
  url          = {https://doi.org/10.1145/3511213},
  doi          = {10.1145/3511213},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tos/KwonLKBK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/ParkLJK22,
  author       = {Pyeongsu Park and
                  Jaewoon Lee and
                  Heetaek Jeong and
                  Jangwoo Kim},
  title        = {{DLS:} {A} Fast and Flexible Neural Network Training System With Fine-grained
                  Heterogeneous Device Orchestration},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {33},
  number       = {11},
  pages        = {3194--3206},
  year         = {2022},
  url          = {https://doi.org/10.1109/TPDS.2022.3144453},
  doi          = {10.1109/TPDS.2022.3144453},
  timestamp    = {Mon, 13 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/ParkLJK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/MinCBKK22,
  author       = {Dongmoon Min and
                  Yujin Chung and
                  Ilkwon Byun and
                  Junpyo Kim and
                  Jangwoo Kim},
  editor       = {Babak Falsafi and
                  Michael Ferdman and
                  Shan Lu and
                  Thomas F. Wenisch},
  title        = {CryoWire: wire-driven microarchitecture designs for cryogenic computing},
  booktitle    = {{ASPLOS} '22: 27th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Lausanne,
                  Switzerland, 28 February 2022 - 4 March 2022},
  pages        = {903--917},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3503222.3507749},
  doi          = {10.1145/3503222.3507749},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/MinCBKK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/LeeKKCK22,
  author       = {Hunjun Lee and
                  Chanmyeong Kim and
                  Minseop Kim and
                  Yujin Chung and
                  Jangwoo Kim},
  title        = {NeuroSync: {A} Scalable and Accurate Brain Simulator Using Safe and
                  Efficient Speculation},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2022, Seoul, South Korea, April 2-6, 2022},
  pages        = {633--647},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HPCA53966.2022.00053},
  doi          = {10.1109/HPCA53966.2022.00053},
  timestamp    = {Mon, 23 May 2022 16:36:22 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/LeeKKCK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/ByunKMNFITTIK22,
  author       = {Ilkwon Byun and
                  Junpyo Kim and
                  Dongmoon Min and
                  Ikki Nagaoka and
                  Kosuke Fukumitsu and
                  Iori Ishikawa and
                  Teruo Tanimoto and
                  Masamitsu Tanaka and
                  Koji Inoue and
                  Jangwoo Kim},
  editor       = {Valentina Salapura and
                  Mohamed Zahran and
                  Fred Chong and
                  Lingjia Tang},
  title        = {XQsim: modeling cross-technology control processors for 10+K qubit
                  quantum computers},
  booktitle    = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture,
                  New York, New York, USA, June 18 - 22, 2022},
  pages        = {366--382},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3470496.3527417},
  doi          = {10.1145/3470496.3527417},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/ByunKMNFITTIK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/LeeKMKBYLK22,
  author       = {Hunjun Lee and
                  Minseop Kim and
                  Dongmoon Min and
                  Joonsung Kim and
                  Jongwon Back and
                  Honam Yoo and
                  Jong{-}Ho Lee and
                  Jangwoo Kim},
  title        = {3D-FPIM: An Extreme Energy-Efficient {DNN} Acceleration System Using
                  3D {NAND} Flash-Based In-Situ {PIM} Unit},
  booktitle    = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO}
                  2022, Chicago, IL, USA, October 1-5, 2022},
  pages        = {1359--1376},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/MICRO56248.2022.00093},
  doi          = {10.1109/MICRO56248.2022.00093},
  timestamp    = {Wed, 12 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/LeeKMKBYLK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijon/LeeKLBK21,
  author       = {Hunjun Lee and
                  Chanmyeong Kim and
                  Seungho Lee and
                  Eunjin Baek and
                  Jangwoo Kim},
  title        = {An accurate and fair evaluation methodology for SNN-based inferencing
                  with full-stack hardware design space explorations},
  journal      = {Neurocomputing},
  volume       = {455},
  pages        = {125--138},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.neucom.2021.05.020},
  doi          = {10.1016/J.NEUCOM.2021.05.020},
  timestamp    = {Fri, 16 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijon/LeeKLBK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/IshidaBNFTKTOKI21,
  author       = {Koki Ishida and
                  Ilkwon Byun and
                  Ikki Nagaoka and
                  Kosuke Fukumitsu and
                  Masamitsu Tanaka and
                  Satoshi Kawakami and
                  Teruo Tanimoto and
                  Takatsugu Ono and
                  Jangwoo Kim and
                  Koji Inoue},
  title        = {Superconductor Computing for Neural Networks},
  journal      = {{IEEE} Micro},
  volume       = {41},
  number       = {3},
  pages        = {19--26},
  year         = {2021},
  url          = {https://doi.org/10.1109/MM.2021.3070488},
  doi          = {10.1109/MM.2021.3070488},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/IshidaBNFTKTOKI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/ByunMLNK21,
  author       = {Ilkwon Byun and
                  Dongmoon Min and
                  Gyu{-}hyeon Lee and
                  Seongmin Na and
                  Jangwoo Kim},
  title        = {A Next-Generation Cryogenic Processor Architecture},
  journal      = {{IEEE} Micro},
  volume       = {41},
  number       = {3},
  pages        = {80--86},
  year         = {2021},
  url          = {https://doi.org/10.1109/MM.2021.3070133},
  doi          = {10.1109/MM.2021.3070133},
  timestamp    = {Tue, 15 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/ByunMLNK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tos/KimCLK21,
  author       = {Joonsung Kim and
                  Kanghyun Choi and
                  Wonsik Lee and
                  Jangwoo Kim},
  title        = {Performance Modeling and Practical Use Cases for Black-Box SSDs},
  journal      = {{ACM} Trans. Storage},
  volume       = {17},
  number       = {2},
  pages        = {14:1--14:38},
  year         = {2021},
  url          = {https://doi.org/10.1145/3440022},
  doi          = {10.1145/3440022},
  timestamp    = {Tue, 13 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tos/KimCLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/KimHLLK21,
  author       = {Joonsung Kim and
                  Suyeon Hur and
                  Eunbok Lee and
                  Seungho Lee and
                  Jangwoo Kim},
  editor       = {Jaejin Lee and
                  Albert Cohen},
  title        = {NLP-Fast: {A} Fast, Scalable, and Flexible System to Accelerate Large-Scale
                  Heterogeneous {NLP} Models},
  booktitle    = {30th International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2021, Atlanta, GA, USA, September 26-29, 2021},
  pages        = {75--89},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/PACT52795.2021.00013},
  doi          = {10.1109/PACT52795.2021.00013},
  timestamp    = {Mon, 06 Nov 2023 15:27:23 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/KimHLLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/LeeKCK21,
  author       = {Hunjun Lee and
                  Chanmyeong Kim and
                  Yujin Chung and
                  Jangwoo Kim},
  editor       = {Tim Sherwood and
                  Emery D. Berger and
                  Christos Kozyrakis},
  title        = {NeuroEngine: a hardware-based event-driven simulation system for advanced
                  brain-inspired computing},
  booktitle    = {{ASPLOS} '21: 26th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Virtual Event,
                  USA, April 19-23, 2021},
  pages        = {975--989},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3445814.3446738},
  doi          = {10.1145/3445814.3446738},
  timestamp    = {Sat, 30 Sep 2023 09:34:47 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/LeeKCK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/LeeNBMK21,
  author       = {Gyu{-}hyeon Lee and
                  Seongmin Na and
                  Ilkwon Byun and
                  Dongmoon Min and
                  Jangwoo Kim},
  title        = {CryoGuard: {A} Near Refresh-Free Robust {DRAM} Design for Cryogenic
                  Computing},
  booktitle    = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021},
  pages        = {637--650},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISCA52012.2021.00056},
  doi          = {10.1109/ISCA52012.2021.00056},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/LeeNBMK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KimJLLK21,
  author       = {Joonsung Kim and
                  Hamin Jang and
                  Hunjun Lee and
                  Seungho Lee and
                  Jangwoo Kim},
  title        = {UC-Check: Characterizing Micro-operation Caches in x86 Processors
                  and Implications in Security and Performance},
  booktitle    = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  Virtual Event, Greece, October 18-22, 2021},
  pages        = {550--564},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3466752.3480079},
  doi          = {10.1145/3466752.3480079},
  timestamp    = {Tue, 19 Oct 2021 15:51:04 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KimJLLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sp/HurSKBKL21,
  author       = {Jaewon Hur and
                  Suhwan Song and
                  Dongup Kwon and
                  Eunjin Baek and
                  Jangwoo Kim and
                  Byoungyoung Lee},
  title        = {DifuzzRTL: Differential Fuzz Testing to Find {CPU} Bugs},
  booktitle    = {42nd {IEEE} Symposium on Security and Privacy, {SP} 2021, San Francisco,
                  CA, USA, 24-27 May 2021},
  pages        = {1286--1303},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/SP40001.2021.00103},
  doi          = {10.1109/SP40001.2021.00103},
  timestamp    = {Thu, 21 Sep 2023 15:57:26 +0200},
  biburl       = {https://dblp.org/rec/conf/sp/HurSKBKL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/usenix/KwonKBLK21,
  author       = {Dongup Kwon and
                  Dongryeong Kim and
                  Junehyuk Boo and
                  Wonsik Lee and
                  Jangwoo Kim},
  editor       = {Irina Calciu and
                  Geoff Kuenning},
  title        = {A Fast and Flexible Hardware-based Virtualization Mechanism for Computational
                  Storage Devices},
  booktitle    = {2021 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2021, July
                  14-16, 2021},
  pages        = {729--743},
  publisher    = {{USENIX} Association},
  year         = {2021},
  url          = {https://www.usenix.org/conference/atc21/presentation/kwon},
  timestamp    = {Thu, 12 Aug 2021 18:08:26 +0200},
  biburl       = {https://dblp.org/rec/conf/usenix/KwonKBLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/MinBLNK20,
  author       = {Dongmoon Min and
                  Ilkwon Byun and
                  Gyu{-}hyeon Lee and
                  Seongmin Na and
                  Jangwoo Kim},
  editor       = {James R. Larus and
                  Luis Ceze and
                  Karin Strauss},
  title        = {CryoCache: {A} Fast, Large, and Cost-Effective Cache Architecture
                  for Cryogenic Computing},
  booktitle    = {{ASPLOS} '20: Architectural Support for Programming Languages and
                  Operating Systems, Lausanne, Switzerland, March 16-20, 2020},
  pages        = {449--464},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3373376.3378513},
  doi          = {10.1145/3373376.3378513},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/MinBLNK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KwonHJNK20,
  author       = {Dongup Kwon and
                  Suyeon Hur and
                  Hamin Jang and
                  Eriko Nurvitadhi and
                  Jangwoo Kim},
  title        = {Scalable Multi-FPGA Acceleration for Large RNNs with Full Parallelism
                  Levels},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218528},
  doi          = {10.1109/DAC18072.2020.9218528},
  timestamp    = {Wed, 14 Oct 2020 10:56:17 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KwonHJNK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/ByunMLNK20,
  author       = {Ilkwon Byun and
                  Dongmoon Min and
                  Gyu{-}hyeon Lee and
                  Seongmin Na and
                  Jangwoo Kim},
  title        = {CryoCore: {A} Fast and Dense Processor Architecture for Cryogenic
                  Computing},
  booktitle    = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020},
  pages        = {335--348},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCA45697.2020.00037},
  doi          = {10.1109/ISCA45697.2020.00037},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/ByunMLNK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/BaekKK20,
  author       = {Eunjin Baek and
                  Dongup Kwon and
                  Jangwoo Kim},
  title        = {A Multi-Neural Network Acceleration Architecture},
  booktitle    = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020},
  pages        = {940--953},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISCA45697.2020.00081},
  doi          = {10.1109/ISCA45697.2020.00081},
  timestamp    = {Wed, 22 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/BaekKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/IshidaBNFTKTOKI20,
  author       = {Koki Ishida and
                  Ilkwon Byun and
                  Ikki Nagaoka and
                  Kosuke Fukumitsu and
                  Masamitsu Tanaka and
                  Satoshi Kawakami and
                  Teruo Tanimoto and
                  Takatsugu Ono and
                  Jangwoo Kim and
                  Koji Inoue},
  title        = {SuperNPU: An Extremely Fast Neural Processing Unit Using Superconducting
                  Logic Devices},
  booktitle    = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2020, Athens, Greece, October 17-21, 2020},
  pages        = {58--72},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/MICRO50266.2020.00018},
  doi          = {10.1109/MICRO50266.2020.00018},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/IshidaBNFTKTOKI20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/ParkJK20,
  author       = {Pyeongsu Park and
                  Heetaek Jeong and
                  Jangwoo Kim},
  title        = {TrainBox: An Extreme-Scale Neural Network Training Server Architecture
                  by Systematically Balancing Operations},
  booktitle    = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2020, Athens, Greece, October 17-21, 2020},
  pages        = {825--838},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/MICRO50266.2020.00072},
  doi          = {10.1109/MICRO50266.2020.00072},
  timestamp    = {Tue, 17 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/ParkJK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/osdi/KwonBKK20,
  author       = {Dongup Kwon and
                  Junehyuk Boo and
                  Dongryeong Kim and
                  Jangwoo Kim},
  title        = {{FVM:} FPGA-assisted Virtual Device Emulation for Fast, Scalable,
                  and Flexible Storage Virtualization},
  booktitle    = {14th {USENIX} Symposium on Operating Systems Design and Implementation,
                  {OSDI} 2020, Virtual Event, November 4-6, 2020},
  pages        = {955--971},
  publisher    = {{USENIX} Association},
  year         = {2020},
  url          = {https://www.usenix.org/conference/osdi20/presentation/kwon},
  timestamp    = {Tue, 02 Feb 2021 08:05:52 +0100},
  biburl       = {https://dblp.org/rec/conf/osdi/KwonBKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/ChungRKAKKLA19,
  author       = {Jongwook Chung and
                  Yuhwan Ro and
                  Joonsung Kim and
                  Jaehyung Ahn and
                  Jangwoo Kim and
                  John Kim and
                  Jae W. Lee and
                  Jung Ho Ahn},
  title        = {Enforcing Last-Level Cache Partitioning through Memory Virtual Channels},
  booktitle    = {28th International Conference on Parallel Architectures and Compilation
                  Techniques, {PACT} 2019, Seattle, WA, USA, September 23-26, 2019},
  pages        = {97--109},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/PACT.2019.00016},
  doi          = {10.1109/PACT.2019.00016},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/ChungRKAKKLA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurosys/KimKCKK19,
  author       = {Youngsok Kim and
                  Joonsung Kim and
                  Dongju Chae and
                  Daehyun Kim and
                  Jangwoo Kim},
  editor       = {George Candea and
                  Robbert van Renesse and
                  Christof Fetzer},
  title        = {{\(\mu\)}Layer: Low Latency On-Device Inference Using Cooperative
                  Single-Layer Acceleration and Processor-Friendly Quantization},
  booktitle    = {Proceedings of the Fourteenth EuroSys Conference 2019, Dresden, Germany,
                  March 25-28, 2019},
  pages        = {45:1--45:15},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3302424.3303950},
  doi          = {10.1145/3302424.3303950},
  timestamp    = {Thu, 28 Mar 2019 13:37:53 +0100},
  biburl       = {https://dblp.org/rec/conf/eurosys/KimKCKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/AjdariPKKK19,
  author       = {Mohammadamin Ajdari and
                  Pyeongsu Park and
                  Joonsung Kim and
                  Dongup Kwon and
                  Jangwoo Kim},
  title        = {{CIDR:} {A} Cost-Effective In-Line Data Reduction System for Terabit-Per-Second
                  Scale {SSD} Arrays},
  booktitle    = {25th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2019, Washington, DC, USA, February 16-20, 2019},
  pages        = {28--41},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HPCA.2019.00025},
  doi          = {10.1109/HPCA.2019.00025},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/AjdariPKKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/JangKJLK19,
  author       = {Hanhwi Jang and
                  Joonsung Kim and
                  Jae{-}Eon Jo and
                  Jaewon Lee and
                  Jangwoo Kim},
  editor       = {Srilatha Bobbie Manne and
                  Hillery C. Hunter and
                  Erik R. Altman},
  title        = {MnnFast: a fast and scalable system architecture for memory-augmented
                  neural networks},
  booktitle    = {Proceedings of the 46th International Symposium on Computer Architecture,
                  {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019},
  pages        = {250--263},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3307650.3322214},
  doi          = {10.1145/3307650.3322214},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/JangKJLK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/LeeMBK19,
  author       = {Gyu{-}hyeon Lee and
                  Dongmoon Min and
                  Ilkwon Byun and
                  Jangwoo Kim},
  editor       = {Srilatha Bobbie Manne and
                  Hillery C. Hunter and
                  Erik R. Altman},
  title        = {Cryogenic computer architecture modeling with memory-side case studies},
  booktitle    = {Proceedings of the 46th International Symposium on Computer Architecture,
                  {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019},
  pages        = {774--787},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3307650.3322219},
  doi          = {10.1145/3307650.3322219},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/LeeMBK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/AjdariLPKK19,
  author       = {Mohammadamin Ajdari and
                  Wonsik Lee and
                  Pyeongsu Park and
                  Joonsung Kim and
                  Jangwoo Kim},
  title        = {{FIDR:} {A} Scalable Storage System for Fine-Grain Inline Data Reduction
                  with Efficient Memory Handling},
  booktitle    = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16,
                  2019},
  pages        = {239--252},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3352460.3358303},
  doi          = {10.1145/3352460.3358303},
  timestamp    = {Wed, 16 Oct 2019 09:55:30 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/AjdariLPKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/BaekLKK19,
  author       = {Eunjin Baek and
                  Hunjun Lee and
                  Youngsok Kim and
                  Jangwoo Kim},
  title        = {FlexLearn: Fast and Highly Efficient Brain Simulations Using Flexible
                  On-Chip Learning},
  booktitle    = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16,
                  2019},
  pages        = {304--318},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3352460.3358268},
  doi          = {10.1145/3352460.3358268},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/BaekLKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/AjdariPKKK18,
  author       = {Mohammadamin Ajdari and
                  Pyeongsu Park and
                  Dongup Kwon and
                  Joonsung Kim and
                  Jangwoo Kim},
  title        = {A Scalable HW-Based Inline Deduplication for {SSD} Arrays},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {17},
  number       = {1},
  pages        = {47--50},
  year         = {2018},
  url          = {https://doi.org/10.1109/LCA.2017.2753258},
  doi          = {10.1109/LCA.2017.2753258},
  timestamp    = {Sun, 15 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/AjdariPKKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/KimKPKK18,
  author       = {Jihun Kim and
                  Joonsung Kim and
                  Pyeongsu Park and
                  Jong Kim and
                  Jangwoo Kim},
  title        = {{SSD} Performance Modeling Using Bottleneck Analysis},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {17},
  number       = {1},
  pages        = {80--83},
  year         = {2018},
  url          = {https://doi.org/10.1109/LCA.2017.2779122},
  doi          = {10.1109/LCA.2017.2779122},
  timestamp    = {Thu, 28 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/KimKPKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/JoLJLAK18,
  author       = {Jae{-}Eon Jo and
                  Gyu{-}hyeon Lee and
                  Hanhwi Jang and
                  Jaewon Lee and
                  Mohammadamin Ajdari and
                  Jangwoo Kim},
  title        = {DiagSim: Systematically Diagnosing Simulators for Healthy Simulations},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {15},
  number       = {1},
  pages        = {4:1--4:27},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177959},
  doi          = {10.1145/3177959},
  timestamp    = {Tue, 11 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/JoLJLAK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/LeeKLCGK18,
  author       = {Jaewon Lee and
                  Changkyu Kim and
                  Kun Lin and
                  Liqun Cheng and
                  Rama Govindaraju and
                  Jangwoo Kim},
  editor       = {Xipeng Shen and
                  James Tuck and
                  Ricardo Bianchini and
                  Vivek Sarkar},
  title        = {WSMeter: {A} Performance Evaluation Methodology for Google's Production
                  Warehouse-Scale Computers},
  booktitle    = {Proceedings of the Twenty-Third International Conference on Architectural
                  Support for Programming Languages and Operating Systems, {ASPLOS}
                  2018, Williamsburg, VA, USA, March 24-28, 2018},
  pages        = {549--563},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3173162.3173196},
  doi          = {10.1145/3173162.3173196},
  timestamp    = {Tue, 23 Jan 2024 20:31:22 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/LeeKLCGK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/LeeLKLKK18,
  author       = {Dayeol Lee and
                  Gwangmu Lee and
                  Dongup Kwon and
                  Sunghwa Lee and
                  Youngsok Kim and
                  Jangwoo Kim},
  editor       = {Murali Annavaram and
                  Timothy Mark Pinkston and
                  Babak Falsafi},
  title        = {Flexon: {A} Flexible Digital Neuron for Efficient Spiking Neural Network
                  Simulations},
  booktitle    = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018},
  pages        = {275--288},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCA.2018.00032},
  doi          = {10.1109/ISCA.2018.00032},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/LeeLKLKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/KwonACALBKK18,
  author       = {Dongup Kwon and
                  Jaehyung Ahn and
                  Dongju Chae and
                  Mohammadamin Ajdari and
                  Jaewon Lee and
                  Suheon Bae and
                  Youngsok Kim and
                  Jangwoo Kim},
  editor       = {Murali Annavaram and
                  Timothy Mark Pinkston and
                  Babak Falsafi},
  title        = {DCS-ctrl: {A} Fast and Flexible Device-Control Mechanism for Device-Centric
                  Server Architecture},
  booktitle    = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018},
  pages        = {491--504},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCA.2018.00048},
  doi          = {10.1109/ISCA.2018.00048},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/KwonACALBKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KimPAK0K18,
  author       = {Joonsung Kim and
                  Pyeongsu Park and
                  Jaehyung Ahn and
                  Jihun Kim and
                  Jong Kim and
                  Jangwoo Kim},
  title        = {SSDcheck: Timely and Accurate Prediction of Irregular Behaviors in
                  Black-Box SSDs},
  booktitle    = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018},
  pages        = {455--468},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MICRO.2018.00044},
  doi          = {10.1109/MICRO.2018.00044},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KimPAK0K18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/JangJLK18,
  author       = {Hanhwi Jang and
                  Jae{-}Eon Jo and
                  Jaewon Lee and
                  Jangwoo Kim},
  title        = {RpStacks-MT: {A} High-Throughput Design Evaluation Methodology for
                  Multi-Core Processors},
  booktitle    = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018},
  pages        = {586--599},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MICRO.2018.00054},
  doi          = {10.1109/MICRO.2018.00054},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/JangJLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/usenix/ChaeKLKCLK18,
  author       = {Dongju Chae and
                  Joonsung Kim and
                  Gwangmu Lee and
                  Hanjun Kim and
                  Kyung{-}Ah Chang and
                  Hyogun Lee and
                  Jangwoo Kim},
  editor       = {Haryadi S. Gunawi and
                  Benjamin C. Reed},
  title        = {DynaMix: Dynamic Mobile Device Integration for Efficient Cross-device
                  Resource Sharing},
  booktitle    = {2018 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2018, Boston,
                  MA, USA, July 11-13, 2018},
  pages        = {71--84},
  publisher    = {{USENIX} Association},
  year         = {2018},
  url          = {https://www.usenix.org/conference/atc18/presentation/chae},
  timestamp    = {Sat, 25 Feb 2023 23:39:37 +0100},
  biburl       = {https://dblp.org/rec/conf/usenix/ChaeKLKCLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispass/LeeJJLK17,
  author       = {Jaewon Lee and
                  Hanhwi Jang and
                  Jae{-}Eon Jo and
                  Gyu{-}hyeon Lee and
                  Jangwoo Kim},
  title        = {StressRight: Finding the right stress for accurate in-development
                  system evaluation},
  booktitle    = {2017 {IEEE} International Symposium on Performance Analysis of Systems
                  and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017},
  pages        = {205--216},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISPASS.2017.7975292},
  doi          = {10.1109/ISPASS.2017.7975292},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispass/LeeJJLK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KimJJRKK17,
  author       = {Youngsok Kim and
                  Jae{-}Eon Jo and
                  Hanhwi Jang and
                  Minsoo Rhu and
                  Hanjun Kim and
                  Jangwoo Kim},
  editor       = {Hillery C. Hunter and
                  Jaime Moreno and
                  Joel S. Emer and
                  Daniel S{\'{a}}nchez},
  title        = {GPUpd: a fast and scalable multi-GPU architecture using cooperative
                  projection and distribution},
  booktitle    = {Proceedings of the 50th Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2017, Cambridge, MA, USA, October 14-18,
                  2017},
  pages        = {574--586},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3123939.3123968},
  doi          = {10.1145/3123939.3123968},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KimJJRKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccgrid/LeeAPK16,
  author       = {Jaewon Lee and
                  Jaehyung Ahn and
                  Choongul Park and
                  Jangwoo Kim},
  title        = {DTStorage: Dynamic Tape-Based Storage for Cost-Effective and Highly-Available
                  Streaming Service},
  booktitle    = {{IEEE/ACM} 16th International Symposium on Cluster, Cloud and Grid
                  Computing, CCGrid 2016, Cartagena, Colombia, May 16-19, 2016},
  pages        = {376--387},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/CCGrid.2016.43},
  doi          = {10.1109/CCGRID.2016.43},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccgrid/LeeAPK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccgrid/ChaeKKKCSL16,
  author       = {Dongju Chae and
                  Joonsung Kim and
                  Youngsok Kim and
                  Jangwoo Kim and
                  Kyung{-}Ah Chang and
                  Sang{-}Bum Suh and
                  Hyogun Lee},
  title        = {CloudSwap: {A} Cloud-Assisted Swap Mechanism for Mobile Devices},
  booktitle    = {{IEEE/ACM} 16th International Symposium on Cluster, Cloud and Grid
                  Computing, CCGrid 2016, Cartagena, Colombia, May 16-19, 2016},
  pages        = {462--472},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/CCGrid.2016.22},
  doi          = {10.1109/CCGRID.2016.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccgrid/ChaeKKKCSL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/JangLKKKJL16,
  author       = {Hakbeom Jang and
                  Yongjun Lee and
                  Jongwon Kim and
                  Youngsok Kim and
                  Jangwoo Kim and
                  Jinkyu Jeong and
                  Jae W. Lee},
  title        = {Efficient footprint caching for Tagless {DRAM} Caches},
  booktitle    = {2016 {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2016, Barcelona, Spain, March 12-16, 2016},
  pages        = {237--248},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/HPCA.2016.7446068},
  doi          = {10.1109/HPCA.2016.7446068},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/JangLKKKJL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/LeeKJYKJL15,
  author       = {Yongjun Lee and
                  Jongwon Kim and
                  Hakbeom Jang and
                  Hyunggyun Yang and
                  Jangwoo Kim and
                  Jinkyu Jeong and
                  Jae W. Lee},
  editor       = {Deborah T. Marr and
                  David H. Albonesi},
  title        = {A fully associative, tagless {DRAM} cache},
  booktitle    = {Proceedings of the 42nd Annual International Symposium on Computer
                  Architecture, Portland, OR, USA, June 13-17, 2015},
  pages        = {211--222},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2749469.2750383},
  doi          = {10.1145/2749469.2750383},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/LeeKJYKJL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/AhnKKALK15,
  author       = {Jaehyung Ahn and
                  Dongup Kwon and
                  Youngsok Kim and
                  Mohammadamin Ajdari and
                  Jaewon Lee and
                  Jangwoo Kim},
  editor       = {Milos Prvulovic},
  title        = {{DCS:} a fast and scalable device-centric server architecture},
  booktitle    = {Proceedings of the 48th International Symposium on Microarchitecture,
                  {MICRO} 2015, Waikiki, HI, USA, December 5-9, 2015},
  pages        = {559--571},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2830772.2830794},
  doi          = {10.1145/2830772.2830794},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/AhnKKALK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/KimLKK14,
  author       = {Youngsok Kim and
                  Jaewon Lee and
                  Donggyu Kim and
                  Jangwoo Kim},
  title        = {ScaleGPU: {GPU} Architecture for Memory-Unaware {GPU} Programming},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {13},
  number       = {2},
  pages        = {101--104},
  year         = {2014},
  url          = {https://doi.org/10.1109/L-CA.2013.19},
  doi          = {10.1109/L-CA.2013.19},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cal/KimLKK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccgrid/ChaeKKKYCKP14,
  author       = {Dongju Chae and
                  Jihun Kim and
                  Jangwoo Kim and
                  Jong Kim and
                  Seungjun Yang and
                  Yeongpil Cho and
                  Yongin Kwon and
                  Yunheung Paek},
  title        = {CMcloud: Cloud Platform for Cost-Effective Offloading of Mobile Applications},
  booktitle    = {14th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid
                  Computing, CCGrid 2014, Chicago, IL, USA, May 26-29, 2014},
  pages        = {434--444},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/CCGrid.2014.75},
  doi          = {10.1109/CCGRID.2014.75},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccgrid/ChaeKKKYCKP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/KimLJK14,
  author       = {Youngsok Kim and
                  Jaewon Lee and
                  Jae{-}Eon Jo and
                  Jangwoo Kim},
  title        = {GPUdmm: {A} high-performance and memory-oblivious {GPU} architecture
                  using dynamic memory management},
  booktitle    = {20th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014},
  pages        = {546--557},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/HPCA.2014.6835963},
  doi          = {10.1109/HPCA.2014.6835963},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/KimLJK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/LeeJK14,
  author       = {Jaewon Lee and
                  Hanhwi Jang and
                  Jangwoo Kim},
  title        = {RpStacks: Fast and Accurate Processor Design Space Exploration Using
                  Representative Stall-Event Stacks},
  booktitle    = {47th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  {MICRO} 2014, Cambridge, United Kingdom, December 13-17, 2014},
  pages        = {255--267},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/MICRO.2014.26},
  doi          = {10.1109/MICRO.2014.26},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/LeeJK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sc/SonSYJAKKL14,
  author       = {Young Hoon Son and
                  Seongil O and
                  Hyunggyun Yang and
                  Daejin Jung and
                  Jung Ho Ahn and
                  John Kim and
                  Jangwoo Kim and
                  Jae W. Lee},
  editor       = {Trish Damkroger and
                  Jack J. Dongarra},
  title        = {Microbank: Architecting Through-Silicon Interposer-Based Main Memory
                  Systems},
  booktitle    = {International Conference for High Performance Computing, Networking,
                  Storage and Analysis, {SC} 2014, New Orleans, LA, USA, November 16-21,
                  2014},
  pages        = {1059--1070},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/SC.2014.91},
  doi          = {10.1109/SC.2014.91},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sc/SonSYJAKKL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sp/LeeKKK14,
  author       = {Sangho Lee and
                  Youngsok Kim and
                  Jangwoo Kim and
                  Jong Kim},
  title        = {Stealing Webpages Rendered on Your Browser by Exploiting {GPU} Vulnerabilities},
  booktitle    = {2014 {IEEE} Symposium on Security and Privacy, {SP} 2014, Berkeley,
                  CA, USA, May 18-21, 2014},
  pages        = {19--33},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/SP.2014.9},
  doi          = {10.1109/SP.2014.9},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sp/LeeKKK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/prdc/KimYMBMF13,
  author       = {Jangwoo Kim and
                  Hyunggyun Yang and
                  Mark P. McCartney and
                  Mudit Bhargava and
                  Ken Mai and
                  Babak Falsafi},
  title        = {Building Fast, Dense, Low-Power Caches Using Erasure-Based Inline
                  Multi-bit {ECC}},
  booktitle    = {{IEEE} 19th Pacific Rim International Symposium on Dependable Computing,
                  {PRDC} 2013, Vancouver, BC, Canada, December 2-4, 2013},
  pages        = {98--107},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/PRDC.2013.19},
  doi          = {10.1109/PRDC.2013.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/prdc/KimYMBMF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sc/KimCKK13,
  author       = {Jihun Kim and
                  Dongju Chae and
                  Jangwoo Kim and
                  Jong Kim},
  editor       = {William Gropp and
                  Satoshi Matsuoka},
  title        = {Guide-copy: fast and silent migration of virtual machine for datacenters},
  booktitle    = {International Conference for High Performance Computing, Networking,
                  Storage and Analysis, SC'13, Denver, CO, {USA} - November 17 - 21,
                  2013},
  pages        = {66:1--66:12},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2503210.2503251},
  doi          = {10.1145/2503210.2503251},
  timestamp    = {Thu, 28 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sc/KimCKK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/KimHMFH07,
  author       = {Jangwoo Kim and
                  Nikos Hardavellas and
                  Ken Mai and
                  Babak Falsafi and
                  James C. Hoe},
  title        = {Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding},
  booktitle    = {40th Annual {IEEE/ACM} International Symposium on Microarchitecture
                  {(MICRO-40} 2007), 1-5 December 2007, Chicago, Illinois, {USA}},
  pages        = {197--209},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/MICRO.2007.19},
  doi          = {10.1109/MICRO.2007.19},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/KimHMFH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/prdc/KimSFH07,
  author       = {Jangwoo Kim and
                  Jared C. Smolens and
                  Babak Falsafi and
                  James C. Hoe},
  title        = {{PAI:} {A} Lightweight Mechanism for Single-Node Memory Recovery in
                  {DSM} Servers},
  booktitle    = {13th {IEEE} Pacific Rim International Symposium on Dependable Computing
                  {(PRDC} 2007), 17-19 December, 2007, Melbourne, Victoria, Australia},
  pages        = {298--305},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/PRDC.2007.37},
  doi          = {10.1109/PRDC.2007.37},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/prdc/KimSFH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/GoldKSCLNFHN05,
  author       = {Brian T. Gold and
                  Jangwoo Kim and
                  Jared C. Smolens and
                  Eric S. Chung and
                  Vasileios Liaskovitis and
                  Eriko Nurvitadhi and
                  Babak Falsafi and
                  James C. Hoe and
                  Andreas Nowatzyk},
  title        = {{TRUSS:} {A} Reliable, Scalable Server Architecture},
  journal      = {{IEEE} Micro},
  volume       = {25},
  number       = {6},
  pages        = {51--59},
  year         = {2005},
  url          = {https://doi.org/10.1109/MM.2005.122},
  doi          = {10.1109/MM.2005.122},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/GoldKSCLNFHN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/WenischSHKGAF05,
  author       = {Thomas F. Wenisch and
                  Stephen Somogyi and
                  Nikolaos Hardavellas and
                  Jangwoo Kim and
                  Chris Gniady and
                  Anastassia Ailamaki and
                  Babak Falsafi},
  title        = {Store-Ordered Streaming of Shared Memory},
  booktitle    = {14th International Conference on Parallel Architectures and Compilation
                  Techniques {(PACT} 2005), 17-21 September 2005, St. Louis, MO, {USA}},
  pages        = {75--86},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/PACT.2005.37},
  doi          = {10.1109/PACT.2005.37},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/WenischSHKGAF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/WenischSHKAF05,
  author       = {Thomas F. Wenisch and
                  Stephen Somogyi and
                  Nikolaos Hardavellas and
                  Jangwoo Kim and
                  Anastassia Ailamaki and
                  Babak Falsafi},
  title        = {Temporal Streaming of Shared Memory},
  booktitle    = {32st International Symposium on Computer Architecture {(ISCA} 2005),
                  4-8 June 2005, Madison, Wisconsin, {USA}},
  pages        = {222--233},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISCA.2005.50},
  doi          = {10.1109/ISCA.2005.50},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/WenischSHKAF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/micro/SmolensGKFHN04,
  author       = {Jared C. Smolens and
                  Brian T. Gold and
                  Jangwoo Kim and
                  Babak Falsafi and
                  James C. Hoe and
                  Andreas Nowatzyk},
  title        = {Fingerprinting: Bounding Soft-Error-Detection Latency and Bandwidth},
  journal      = {{IEEE} Micro},
  volume       = {24},
  number       = {6},
  pages        = {22--29},
  year         = {2004},
  url          = {https://doi.org/10.1109/MM.2004.72},
  doi          = {10.1109/MM.2004.72},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/SmolensGKFHN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigmetrics/HardavellasSWWCKFHN04,
  author       = {Nikolaos Hardavellas and
                  Stephen Somogyi and
                  Thomas F. Wenisch and
                  Roland E. Wunderlich and
                  Shelley Chen and
                  Jangwoo Kim and
                  Babak Falsafi and
                  James C. Hoe and
                  Andreas Nowatzyk},
  title        = {SimFlex: a fast, accurate, flexible full-system simulation framework
                  for performance evaluation of server architecture},
  journal      = {{SIGMETRICS} Perform. Evaluation Rev.},
  volume       = {31},
  number       = {4},
  pages        = {31--34},
  year         = {2004},
  url          = {https://doi.org/10.1145/1054907.1054914},
  doi          = {10.1145/1054907.1054914},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigmetrics/HardavellasSWWCKFHN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/SmolensGKFHN04,
  author       = {Jared C. Smolens and
                  Brian T. Gold and
                  Jangwoo Kim and
                  Babak Falsafi and
                  James C. Hoe and
                  Andreas Nowatzyk},
  editor       = {Shubu Mukherjee and
                  Kathryn S. McKinley},
  title        = {Fingerprinting: bounding soft-error detection latency and bandwidth},
  booktitle    = {Proceedings of the 11th International Conference on Architectural
                  Support for Programming Languages and Operating Systems, {ASPLOS}
                  2004, Boston, MA, USA, October 7-13, 2004},
  pages        = {224--234},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1024393.1024420},
  doi          = {10.1145/1024393.1024420},
  timestamp    = {Wed, 07 Jul 2021 13:23:08 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/SmolensGKFHN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/SmolensKHF04,
  author       = {Jared C. Smolens and
                  Jangwoo Kim and
                  James C. Hoe and
                  Babak Falsafi},
  title        = {Efficient Resource Sharing in Concurrent Error Detecting Superscalar
                  Microarchitectures},
  booktitle    = {37th Annual International Symposium on Microarchitecture {(MICRO-37}
                  2004), 4-8 December 2004, Portland, OR, {USA}},
  pages        = {257--268},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/MICRO.2004.19},
  doi          = {10.1109/MICRO.2004.19},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/SmolensKHF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wmpi/SomogyiWHKAF04,
  author       = {Stephen Somogyi and
                  Thomas F. Wenisch and
                  Nikolaos Hardavellas and
                  Jangwoo Kim and
                  Anastassia Ailamaki and
                  Babak Falsafi},
  editor       = {John B. Carter and
                  Lixin Zhang},
  title        = {Memory coherence activity prediction in commercial workloads},
  booktitle    = {Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction
                  with the 31st International Symposium on Computer Architecture 2004,
                  Munich, Germany, June 20, 2004},
  pages        = {37--45},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1054943.1054949},
  doi          = {10.1145/1054943.1054949},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/wmpi/SomogyiWHKAF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics