Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Israel Koren
@article{DBLP:journals/tos/XuK24, author = {Wang Xu and Israel Koren}, title = {A Scalable Wear Leveling Technique for Phase Change Memory}, journal = {{ACM} Trans. Storage}, volume = {20}, number = {1}, pages = {3:1--3:26}, year = {2024}, url = {https://doi.org/10.1145/3631146}, doi = {10.1145/3631146}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tos/XuK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanMWKK23, author = {Glenn H. Chapman and Klinsmann J. Coelho Silva Meneses and Linda Wu and Israel Koren and Zahava Koren}, editor = {Luca Cassano and Mihalis Psarakis and Marcello Traiola and Alberto Bosio}, title = {Image Degradation in Time Due to Interacting Hot Pixels}, booktitle = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2023, Juan-Les-Pins, France, October 3-5, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DFT59622.2023.10313555}, doi = {10.1109/DFT59622.2023.10313555}, timestamp = {Tue, 21 Nov 2023 12:38:06 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanMWKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanMKK22, author = {Glenn H. Chapman and Klinsmann J. Coelho Silva Meneses and Israel Koren and Zahava Koren}, editor = {Luca Cassano and Sreejit Chakravarty and Alberto Bosio}, title = {Image Degradation due to Interacting Adjacent Hot Pixels}, booktitle = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2022, Austin, TX, USA, October 19-21, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DFT56152.2022.9962368}, doi = {10.1109/DFT56152.2022.9962368}, timestamp = {Sat, 03 Dec 2022 16:36:45 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanMKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/XuKK21, author = {Shikang Xu and Israel Koren and C. Mani Krishna}, title = {Adaptive workload adjustment for cyber-physical systems using deep reinforcement learning}, journal = {Sustain. Comput. Informatics Syst.}, volume = {30}, pages = {100525}, year = {2021}, url = {https://doi.org/10.1016/j.suscom.2021.100525}, doi = {10.1016/J.SUSCOM.2021.100525}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/XuKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanNMKKM21, author = {Glenn H. Chapman and Simone Neufeld and Klinsmann J. Coelho Silva Meneses and Israel Koren and Zahava Koren}, editor = {Luigi Dilillo and Luca Cassano and Athanasios Papadimitriou}, title = {Dependence of SEUs in Digital Cameras on Pixel size and Elevation}, booktitle = {36th {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2021, Athens, Greece, October 6-8, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DFT52944.2021.9568337}, doi = {10.1109/DFT52944.2021.9568337}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dft/ChapmanNMKKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/XuKK20, author = {Shikang Xu and Israel Koren and C. Mani Krishna}, title = {Enhancing dependability and energy efficiency of cyber-physical systems by dynamic actuator derating}, journal = {Sustain. Comput. Informatics Syst.}, volume = {28}, pages = {100411}, year = {2020}, url = {https://doi.org/10.1016/j.suscom.2020.100411}, doi = {10.1016/J.SUSCOM.2020.100411}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/XuKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/XuKK20, author = {Shikang Xu and Israel Koren and C. M. Krishna}, title = {Thermal Aware Task Scheduling for Enhanced Cyber-Physical Systems Sustainability}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {5}, number = {4}, pages = {581--593}, year = {2020}, url = {https://doi.org/10.1109/TSUSC.2019.2958298}, doi = {10.1109/TSUSC.2019.2958298}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsusc/XuKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/AraujoMAFKK20, author = {Leandro Santiago de Ara{\'{u}}jo and Leandro A. J. Marzulo and Tiago A. O. Alves and Felipe M. G. Fran{\c{c}}a and Israel Koren and Sandip Kundu}, editor = {Maurizio Palesi and Gianluca Palermo and Catherine Graves and Eishi Arima}, title = {Building a portable deeply-nested implicit information flow tracking}, booktitle = {Proceedings of the 17th {ACM} International Conference on Computing Frontiers, {CF} 2020, Catania, Sicily, Italy, May 11-13, 2020}, pages = {150--157}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3387902.3392614}, doi = {10.1145/3387902.3392614}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/AraujoMAFKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanTMZKK20, author = {Glenn H. Chapman and Rohan Thomas and Klinsmann J. Coelho Silva Meneses and Ruoyi Zhao and Israel Koren and Zahava Koren}, editor = {Luigi Dilillo and Mihalis Psarakis and Taniya Siddiqua}, title = {Using digital imagers to characterize the dependence of energy and area distributions of SEUs on elevation}, booktitle = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2020, Frascati, Italy, October 19-21, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DFT50435.2020.9250888}, doi = {10.1109/DFT50435.2020.9250888}, timestamp = {Tue, 17 Nov 2020 13:54:22 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanTMZKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/RaviKK19, author = {Nirupama Ravi and C. Mani Krishna and Israel Koren}, title = {Enhancing Vehicular Anonymity in {ITS:} {A} New Scheme for Mix Zones and Their Placement}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {11}, pages = {10372--10381}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2936529}, doi = {10.1109/TVT.2019.2936529}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/RaviKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/XuK19, author = {Wang Xu and Israel Koren}, editor = {Francesca Palumbo and Michela Becchi and Martin Schulz and Kento Sato}, title = {Designing a secure {DRAM+NVM} hybrid memory module}, booktitle = {Proceedings of the 16th {ACM} International Conference on Computing Frontiers, {CF} 2019, Alghero, Italy, April 30 - May 2, 2019}, pages = {251--255}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3310273.3323069}, doi = {10.1145/3310273.3323069}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/XuK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanTMHYKK19, author = {Glenn H. Chapman and Rohan Thomas and Klinsmann J. Coelho Silva Meneses and Bifei Huang and Hao Yang and Israel Koren and Zahava Koren}, title = {Detecting SEUs in Noisy Digital Imagers with small pixels}, booktitle = {2019 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2019, Noordwijk, Netherlands, October 2-4, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DFT.2019.8875486}, doi = {10.1109/DFT.2019.8875486}, timestamp = {Tue, 22 Oct 2019 15:42:19 +0200}, biburl = {https://dblp.org/rec/conf/dft/ChapmanTMHYKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanTMKK19, author = {Glenn H. Chapman and Rohan Thomas and Klinsmann J. Coelho Silva Meneses and Israel Koren and Zahava Koren}, editor = {Arnaud Darmont and Arnaud Peizerat and Ralf Widenhorn}, title = {Image degradation from hot pixel defects with pixel size shrinkage}, booktitle = {Image Sensors and Imaging Systems 2019, {IMSE} 2019, Burlingame, CA, USA, January 13-17, 2019}, pages = {1--7}, publisher = {Society for Imaging Science and Technology}, year = {2019}, url = {https://doi.org/10.2352/ISSN.2470-1173.2019.9.IMSE-359}, doi = {10.2352/ISSN.2470-1173.2019.9.IMSE-359}, timestamp = {Tue, 25 Jul 2023 17:01:19 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanTMKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/SartorLKKB18, author = {Anderson Luiz Sartor and Arthur Francisco Lorenzon and Sandip Kundu and Israel Koren and Antonio C. S. Beck}, editor = {David R. Kaeli and Miquel Peric{\`{a}}s}, title = {Adaptive and polymorphic {VLIW} processor to optimize fault tolerance, energy consumption, and performance}, booktitle = {Proceedings of the 15th {ACM} International Conference on Computing Frontiers, {CF} 2018, Ischia, Italy, May 08-10, 2018}, pages = {54--61}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3203217.3203238}, doi = {10.1145/3203217.3203238}, timestamp = {Wed, 21 Nov 2018 12:44:06 +0100}, biburl = {https://dblp.org/rec/conf/cf/SartorLKKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanTMKK18, author = {Glenn H. Chapman and Rohan Thomas and Klinsmann J. Coelho Silva Meneses and Israel Koren and Zahava Koren}, title = {Analysis of Single Event Upsets Based on Digital Cameras with Very Small Pixels}, booktitle = {2018 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2018, Chicago, IL, USA, October 8-10, 2018}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DFT.2018.8602867}, doi = {10.1109/DFT.2018.8602867}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanTMKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanTMPKK18, author = {Glenn H. Chapman and Rohan Thomas and Klinsmann J. Coelho Silva Meneses and Parham Purbakht and Israel Koren and Zahava Koren}, editor = {Arnaud Darmont and Ralf Widenhorn}, title = {Exploring Hot Pixel Characteristics for 7 to 1.3 micron Pixels}, booktitle = {Image Sensors and Imaging Systems 2018, {IMSE} 2018, Burlingame, CA, USA, January 28 - February 1, 2018}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2018}, url = {https://doi.org/10.2352/ISSN.2470-1173.2018.11.IMSE-401}, doi = {10.2352/ISSN.2470-1173.2018.11.IMSE-401}, timestamp = {Wed, 02 Aug 2023 15:43:47 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanTMPKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/XuKK18, author = {Shikang Xu and Israel Koren and C. M. Krishna}, title = {Energy and Dependability Enhancement by Dynamic Actuator Derating in Cyber-Physical Systems}, booktitle = {Ninth International Green and Sustainable Computing Conference, {IGSC} 2018, Pittsburgh, PA, USA, October 22-24, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGCC.2018.8752122}, doi = {10.1109/IGCC.2018.8752122}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/XuKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/Koren18, author = {Israel Koren}, title = {Detecting and counteracting benign faults and malicious attacks in cyber physical systems}, booktitle = {7th Mediterranean Conference on Embedded Computing, {MECO} 2018, Budva, Montenegro, June 10-14, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/MECO.2018.8405951}, doi = {10.1109/MECO.2018.8405951}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/meco/Koren18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/CruzDSNPK18, author = {Eduardo H. M. Cruz and Matthias Diener and Matheus S. Serpa and Philippe Olivier Alexandre Navaux and La{\'{e}}rcio Lima Pilla and Israel Koren}, editor = {Ivan Merelli and Pietro Li{\`{o}} and Igor V. Kotenko}, title = {Improving Communication and Load Balancing with Thread Mapping in Manycore Systems}, booktitle = {26th Euromicro International Conference on Parallel, Distributed and Network-based Processing, {PDP} 2018, Cambridge, United Kingdom, March 21-23, 2018}, pages = {93--100}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/PDP2018.2018.00021}, doi = {10.1109/PDP2018.2018.00021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/CruzDSNPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hipeac/2018cs, editor = {John Goodacre and Mikel Luj{\'{a}}n and Giovanni Agosta and Alessandro Barenghi and Israel Koren and Gerardo Pelosi}, title = {Proceedings of the Fifth Workshop on Cryptography and Security in Computing Systems, {CS2} 2018, Manchester, United Kingdom, January 24, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3178291}, doi = {10.1145/3178291}, isbn = {978-1-4503-6374-7}, timestamp = {Tue, 18 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/2018cs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/DienerCANK17, author = {Matthias Diener and Eduardo H. M. Cruz and Marco A. Z. Alves and Philippe O. A. Navaux and Israel Koren}, title = {Affinity-Based Thread and Data Mapping in Shared Memory Systems}, journal = {{ACM} Comput. Surv.}, volume = {49}, number = {4}, pages = {64:1--64:38}, year = {2017}, url = {https://doi.org/10.1145/3006385}, doi = {10.1145/3006385}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/DienerCANK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/KrishnaK17, author = {C. M. Krishna and Israel Koren}, title = {Thermal-aware management techniques for cyber-physical systems}, journal = {Sustain. Comput. Informatics Syst.}, volume = {15}, pages = {39--51}, year = {2017}, url = {https://doi.org/10.1016/j.suscom.2017.05.005}, doi = {10.1016/J.SUSCOM.2017.05.005}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/KrishnaK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/XuKK17, author = {Ye Xu and Israel Koren and C. Mani Krishna}, title = {AdaFT: {A} Framework for Adaptive Fault Tolerance for Cyber-Physical Systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {16}, number = {3}, pages = {79:1--79:25}, year = {2017}, url = {https://doi.org/10.1145/2980763}, doi = {10.1145/2980763}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/XuKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/MoreiraDNK17, author = {Francis B. Moreira and Matthias Diener and Philippe O. A. Navaux and Israel Koren}, title = {Data mining the memory access stream to detect anomalous application behavior}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'17, Siena, Italy, May 15-17, 2017}, pages = {45--52}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3075564.3075578}, doi = {10.1145/3075564.3075578}, timestamp = {Fri, 08 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/MoreiraDNK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/OliveiraFNKR17, author = {Daniel Oliveira and Vinicius Fratin and Philippe Olivier Alexandre Navaux and Israel Koren and Paolo Rech}, title = {{CAROL-FI:} an Efficient Fault-Injection Tool for Vulnerability Evaluation of Modern {HPC} Parallel Accelerators}, booktitle = {Proceedings of the Computing Frontiers Conference, CF'17, Siena, Italy, May 15-17, 2017}, pages = {295--298}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3075564.3075598}, doi = {10.1145/3075564.3075598}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/OliveiraFNKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanPLKK17, author = {Glenn H. Chapman and Parham Purbakht and Peter Le and Israel Koren and Zahava Koren}, title = {Exploring soft errors (SEUs) with digital imager pixels ranging from 7 to 1.3 {\(\mu\)}m}, booktitle = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2017, Cambridge, United Kingdom, October 23-25, 2017}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/DFT.2017.8244457}, doi = {10.1109/DFT.2017.8244457}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanPLKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanTKK17, author = {Glenn H. Chapman and Rahul Thomas and Israel Koren and Zahava Koren}, editor = {Arnaud Darmont and Ralf Widenhorn}, title = {Hot Pixel Behavior as Pixel Size Reduces to 1 micron}, booktitle = {Image Sensors and Imaging Systems 2017, {IMSE} 2017, Burlingame, CA, USA, January 29 - February 2, 2017}, pages = {39--45}, publisher = {Society for Imaging Science and Technology}, year = {2017}, url = {https://doi.org/10.2352/ISSN.2470-1173.2017.11.IMSE-183}, doi = {10.2352/ISSN.2470-1173.2017.11.IMSE-183}, timestamp = {Wed, 26 Jul 2023 17:17:16 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanTKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/Koren17, author = {Israel Koren}, title = {Keynote speech: {IGSC} 2017: Green computing through adaptive multi-core architectures}, booktitle = {Eighth International Green and Sustainable Computing Conference, {IGSC} 2017, Orlando, FL, USA, October 23-25, 2017}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IGCC.2017.8323562}, doi = {10.1109/IGCC.2017.8323562}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/Koren17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/OliveiraPDBQKNR17, author = {Daniel Oliveira and La{\'{e}}rcio Lima Pilla and Nathan DeBardeleben and Sean Blanchard and Heather Quinn and Israel Koren and Philippe O. A. Navaux and Paolo Rech}, editor = {Bernd Mohr and Padma Raghavan}, title = {Experimental and analytical study of Xeon Phi reliability}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2017, Denver, CO, USA, November 12 - 17, 2017}, pages = {28}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3126908.3126960}, doi = {10.1145/3126908.3126960}, timestamp = {Tue, 08 Nov 2022 16:03:02 +0100}, biburl = {https://dblp.org/rec/conf/sc/OliveiraPDBQKNR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/ChhablaniKK16, author = {Mayank Chhablani and Israel Koren and C. M. Krishna}, title = {Online Inertia-Based Temperature Estimation for Reliability Enhancement}, journal = {J. Low Power Electron.}, volume = {12}, number = {3}, pages = {159--171}, year = {2016}, url = {https://doi.org/10.1166/jolpe.2016.1444}, doi = {10.1166/JOLPE.2016.1444}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/ChhablaniKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/MoreiraADNK16, author = {Francis B. Moreira and Marco A. Z. Alves and Matthias Diener and Philippe O. A. Navaux and Israel Koren}, title = {A dynamic block-level execution profiler}, journal = {Parallel Comput.}, volume = {54}, pages = {15--28}, year = {2016}, url = {https://doi.org/10.1016/j.parco.2016.01.010}, doi = {10.1016/J.PARCO.2016.01.010}, timestamp = {Fri, 08 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pc/MoreiraADNK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SrinivasankKK16, author = {Sudarshan Srinivasan and Nithesh kurella and Israel Koren and Sandip Kundu}, title = {Exploring Heterogeneity within a Core for Improved Power Efficiency}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {4}, pages = {1057--1069}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2430861}, doi = {10.1109/TPDS.2015.2430861}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/SrinivasankKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/WimerK16, author = {Shmuel Wimer and Israel Koren}, title = {Energy efficient deeply fused dot-product multiplication architecture}, booktitle = {27th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2016, London, United Kingdom, July 6-8, 2016}, pages = {115--122}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ASAP.2016.7760780}, doi = {10.1109/ASAP.2016.7760780}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/WimerK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanTTKK16, author = {Glenn H. Chapman and Rahul Thomas and Rohan Thomas and Israel Koren and Zahava Koren}, title = {Experimental study and analysis of soft and permanent errors in digital cameras}, booktitle = {2016 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2016, Storrs, CT, USA, September 19-20, 2016}, pages = {11--14}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DFT.2016.7684061}, doi = {10.1109/DFT.2016.7684061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanTTKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanTTMYKK16, author = {Glenn H. Chapman and Rahul Thomas and Rohan Thomas and Klinsmann J. Coelho Silva Meneses and Tommy Q. Yang and Israel Koren and Zahava Koren}, editor = {Arnaud Darmont and Antoine Dupret and Ralf Widenhorn}, title = {Increases in Hot Pixel Development Rates for Small Digital Pixel Sizes}, booktitle = {Image Sensors and Imaging Systems 2016, {IMSE} 2016, San Francisco, CA, USA, February 14-18, 2016}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2016}, url = {https://doi.org/10.2352/ISSN.2470-1173.2016.12.IMSE-283}, doi = {10.2352/ISSN.2470-1173.2016.12.IMSE-283}, timestamp = {Thu, 27 Jul 2023 16:41:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanTTMYKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SrinivasanKK16, author = {Sudarshan Srinivasan and Israel Koren and Sandip Kundu}, title = {Improving performance per Watt of non-monotonic Multicore Processors via bottleneck-based online program phase classification}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {528--535}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753337}, doi = {10.1109/ICCD.2016.7753337}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SrinivasanKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SrinivasankKK16, author = {Sudarshan Srinivasan and Nithesh kurella and Israel Koren and Sandip Kundu}, title = {Dynamic Reconfiguration vs. {DVFS:} {A} Comparative Study on Power Efficiency of Processors}, booktitle = {29th International Conference on {VLSI} Design and 15th International Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January 4-8, 2016}, pages = {563--564}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/VLSID.2016.93}, doi = {10.1109/VLSID.2016.93}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SrinivasankKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hipeac/2016cs, editor = {Martin Palkovic and Giovanni Agosta and Alessandro Barenghi and Israel Koren and Gerardo Pelosi}, title = {Proceedings of the Third Workshop on Cryptography and Security in Computing Systems, CS2@HiPEAC, Prague, Czech Republic, January 20, 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858930}, doi = {10.1145/2858930}, isbn = {978-1-4503-4065-6}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/2016cs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/RodriguesKK15, author = {Rance Rodrigues and Israel Koren and Sandip Kundu}, title = {Does the Sharing of Execution Units Improve Performance/Power of Multicores?}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {14}, number = {1}, pages = {17:1--17:24}, year = {2015}, url = {https://doi.org/10.1145/2680543}, doi = {10.1145/2680543}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/RodriguesKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanTTMYKK15, author = {Glenn H. Chapman and Rahul Thomas and Rohan Thomas and Klinsmann J. Coelho Silva Meneses and Tommy Q. Yang and Israel Koren and Zahava Koren}, title = {Single Event Upsets and Hot Pixels in digital imagers}, booktitle = {2015 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFTS} 2015, Amherst, MA, USA, October 12-14, 2015}, pages = {41--46}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DFT.2015.7315133}, doi = {10.1109/DFT.2015.7315133}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanTTMYKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanTTKK15, author = {Glenn H. Chapman and Rahul Thomas and Rohit Thomas and Zahava Koren and Israel Koren}, editor = {Ralf Widenhorn and Antoine Dupret}, title = {Enhanced correction methods for high density hot pixel defects in digital imagers}, booktitle = {Image Sensors and Imaging Systems 2015, San Francisco, California, United States, 8-12 February 2015}, series = {{SPIE} Proceedings}, volume = {9403}, pages = {94030T}, publisher = {{SPIE}}, year = {2015}, url = {https://doi.org/10.1117/12.2083147}, doi = {10.1117/12.2083147}, timestamp = {Mon, 31 Jul 2023 16:53:29 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanTTKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/XuKK15, author = {Shikang Xu and Israel Koren and C. M. Krishna}, title = {Improving processor lifespan and energy consumption using {DVFS} based on {ILP} monitoring}, booktitle = {Sixth International Green and Sustainable Computing Conference, {IGSC} 2015, Las Vegas, NV, USA, December 14-16, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IGCC.2015.7393725}, doi = {10.1109/IGCC.2015.7393725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/XuKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SrinivasanKK15, author = {Sudarshan Srinivasan and Israel Koren and Sandip Kundu}, title = {Online mechanism for reliability and power-efficiency management of a dynamically reconfigurable core}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {327--334}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357121}, doi = {10.1109/ICCD.2015.7357121}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SrinivasanKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hipeac/2015cs, editor = {Andy D. Pimentel and Stephan Wong and Gerardo Pelosi and Israel Koren and Giovanni Agosta and Alessandro Barenghi}, title = {Proceedings of the Second Workshop on Cryptography and Security in Computing Systems, CS2@HiPEAC 2015, Amsterdam, Netherlands, January 19-21, 2015}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2694805}, isbn = {978-1-4503-3187-6}, timestamp = {Sun, 25 Jan 2015 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/2015cs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/WimerAK14, author = {Shmuel Wimer and Amir Albeck and Israel Koren}, title = {A low energy dual-mode adder}, journal = {Comput. Electr. Eng.}, volume = {40}, number = {5}, pages = {1524--1537}, year = {2014}, url = {https://doi.org/10.1016/j.compeleceng.2014.04.012}, doi = {10.1016/J.COMPELECENG.2014.04.012}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/WimerAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/RavindranKKK14, author = {R. C. Ravindran and C. Mani Krishna and Israel Koren and Zahava Koren}, title = {Scheduling imprecise task graphs for real-time applications}, journal = {Int. J. Embed. Syst.}, volume = {6}, number = {1}, pages = {73--85}, year = {2014}, url = {https://doi.org/10.1504/IJES.2014.060919}, doi = {10.1504/IJES.2014.060919}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijes/RavindranKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/BarenghiHBSRK14, author = {Alessandro Barenghi and C{\'{e}}dric Hocquet and David Bol and Fran{\c{c}}ois{-}Xavier Standaert and Francesco Regazzoni and Israel Koren}, title = {A Combined Design-Time/Test-Time Study of the Vulnerability of Sub-Threshold Devices to Low Voltage Fault Attacks}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {2}, number = {2}, pages = {107--118}, year = {2014}, url = {https://doi.org/10.1109/TETC.2014.2316509}, doi = {10.1109/TETC.2014.2316509}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/BarenghiHBSRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WimerK14, author = {Shmuel Wimer and Israel Koren}, title = {Design Flow for Flip-Flop Grouping in Data-Driven Clock Gating}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {4}, pages = {771--778}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2253338}, doi = {10.1109/TVLSI.2013.2253338}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WimerK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/SrinivasankKRK14, author = {Sudarshan Srinivasan and Nithesh kurella and Israel Koren and Rance Rodrigues and Sandip Kundu}, editor = {Jos{\'{e}} Nelson Amaral and Josep Torrellas}, title = {A runtime support mechanism for fast mode switching of a self-morphing core for power efficiency}, booktitle = {International Conference on Parallel Architectures and Compilation, {PACT} '14, Edmonton, AB, Canada, August 24-27, 2014}, pages = {491--492}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2628071.2628124}, doi = {10.1145/2628071.2628124}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/SrinivasankKRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanTTKK14, author = {Glenn H. Chapman and Rohit Thomas and Rahul Thomas and Israel Koren and Zahava Koren}, title = {Improved correction for hot pixels in digital imagers}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {116--121}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962103}, doi = {10.1109/DFT.2014.6962103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanTTKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanTKK14, author = {Glenn H. Chapman and Rohit Thomas and Zahava Koren and Israel Koren}, editor = {Ralf Widenhorn and Antoine Dupret}, title = {Correcting high-density hot pixel defects in digital imagers}, booktitle = {Image Sensors and Imaging Systems 2014, San Francisco, California, USA, February 2-6, 2014}, series = {{SPIE} Proceedings}, volume = {9022}, pages = {90220G}, publisher = {{SPIE}}, year = {2014}, url = {https://doi.org/10.1117/12.2041388}, doi = {10.1117/12.2041388}, timestamp = {Tue, 01 Aug 2023 14:23:05 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanTKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/AnnamalaiRKK14, author = {Arunachalam Annamalai and Rance Rodrigues and Israel Koren and Sandip Kundu}, title = {Reducing Energy per Instruction via Dynamic Resource Allocation and Voltage and Frequency Adaptation in Asymmetric Multicores}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2014, Tampa, FL, USA, July 9-11, 2014}, pages = {436--441}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISVLSI.2014.110}, doi = {10.1109/ISVLSI.2014.110}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/AnnamalaiRKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/MoreiraAK14, author = {Francis B. Moreira and Marco A. Z. Alves and Israel Koren}, title = {Profiling and Reducing Micro-Architecture Bottlenecks at the Hardware Level}, booktitle = {26th {IEEE} International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2014, Paris, France, October 22-24, 2014}, pages = {222--229}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SBAC-PAD.2014.19}, doi = {10.1109/SBAC-PAD.2014.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/MoreiraAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RodriguesKK14, author = {Rance Rodrigues and Israel Koren and Sandip Kundu}, title = {Performance and Power Benefits of Sharing Execution Units between a High Performance Core and a Low Power Core}, booktitle = {2014 27th International Conference on {VLSI} Design, {VLSID} 2014, and 2014 13th International Conference on Embedded Systems, Mumbai, India, January 5-9, 2014}, pages = {204--209}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/VLSID.2014.42}, doi = {10.1109/VLSID.2014.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/RodriguesKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hipeac/2014cs, editor = {Jens Knoop and Valentina Salapura and Israel Koren and Gerardo Pelosi}, title = {Proceedings of the First Workshop on Cryptography and Security in Computing Systems, CS2@HiPEAC 2014, Vienna, Austria, January 20, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2556315}, isbn = {978-1-4503-2484-7}, timestamp = {Wed, 06 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hipeac/2014cs.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/PrakashKK13, author = {Nitin Prakash and Israel Koren and C. Mani Krishna}, title = {Low Cost Dynamic Architecture Adaptation Schemes for Drowsy Cache Management}, journal = {J. Low Power Electron.}, volume = {9}, number = {4}, pages = {373--388}, year = {2013}, url = {https://doi.org/10.1166/jolpe.2013.1288}, doi = {10.1166/JOLPE.2013.1288}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/PrakashKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/RodriguesAKK13, author = {Rance Rodrigues and Arunachalam Annamalai and Israel Koren and Sandip Kundu}, title = {A Study on the Use of Performance Counters to Estimate Power in Microprocessors}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {60-II}, number = {12}, pages = {882--886}, year = {2013}, url = {https://doi.org/10.1109/TCSII.2013.2285966}, doi = {10.1109/TCSII.2013.2285966}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/RodriguesAKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/AnnamalaiRKK13, author = {Arunachalam Annamalai and Rance Rodrigues and Israel Koren and Sandip Kundu}, editor = {Christian Fensch and Michael F. P. O'Boyle and Andr{\'{e}} Seznec and Fran{\c{c}}ois Bodin}, title = {An opportunistic prediction-based thread scheduling to maximize throughput/watt in AMPs}, booktitle = {Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, Edinburgh, United Kingdom, September 7-11, 2013}, pages = {63--72}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PACT.2013.6618804}, doi = {10.1109/PACT.2013.6618804}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/AnnamalaiRKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanTKK13, author = {Glenn H. Chapman and Rohit Thomas and Israel Koren and Zahava Koren}, title = {Improved image accuracy in Hot Pixel degraded digital cameras}, booktitle = {2013 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFTS} 2013, New York City, NY, USA, October 2-4, 2013}, pages = {172--177}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DFT.2013.6653602}, doi = {10.1109/DFT.2013.6653602}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanTKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanTKK13, author = {Glenn H. Chapman and Rohit Thomas and Zahava Koren and Israel Koren}, editor = {Ralf Widenhorn and Antoine Dupret}, title = {Empirical formula for rates of hot pixel defects based on pixel size, sensor area, and {ISO}}, booktitle = {Sensors, Cameras, and Systems for Industrial and Scientific Applications XIV, Burlingame, California, USA, February 3-7, 2013}, series = {{SPIE} Proceedings}, volume = {8659}, pages = {86590C}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2005850}, doi = {10.1117/12.2005850}, timestamp = {Wed, 02 Aug 2023 16:01:06 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanTKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MaistriTMKL13, author = {Paolo Maistri and S{\'{e}}bastien Tiran and Philippe Maurine and Israel Koren and R{\'{e}}gis Leveugle}, editor = {Jos{\'{e}} Luis Ayala and Alex K. Jones and Patrick H. Madden and Ayse K. Coskun}, title = {An evaluation of an {AES} implementation protected against {EM} analysis}, booktitle = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013}, pages = {317--318}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2483028.2483120}, doi = {10.1145/2483028.2483120}, timestamp = {Tue, 23 Jul 2019 15:03:09 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/MaistriTMKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/SrinivasanRAKK13, author = {Sudarshan Srinivasan and Rance Rodrigues and Arunachalam Annamalai and Israel Koren and Sandip Kundu}, title = {On dynamic polymorphing of a superscalar core for improving energy efficiency}, booktitle = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD} 2013, Asheville, NC, USA, October 6-9, 2013}, pages = {495--498}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCD.2013.6657091}, doi = {10.1109/ICCD.2013.6657091}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/SrinivasanRAKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/KrishnaK13, author = {C. Mani Krishna and Israel Koren}, title = {Adaptive fault-tolerance fault-tolerance for cyber-physical systems}, booktitle = {International Conference on Computing, Networking and Communications, {ICNC} 2013, San Diego, CA, USA, January 28-31, 2013}, pages = {310--314}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCNC.2013.6504101}, doi = {10.1109/ICCNC.2013.6504101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccnc/KrishnaK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/SrinivasanRAKK13, author = {Sudarshan Srinivasan and Rance Rodrigues and Arunachalam Annamalai and Israel Koren and Sandip Kundu}, title = {A study on polymorphing superscalar processor dynamically to improve power efficiency}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2013, Natal, Brazil, August 5-7, 2013}, pages = {46--51}, publisher = {{IEEE} Computer Socity}, year = {2013}, url = {https://doi.org/10.1109/ISVLSI.2013.6654621}, doi = {10.1109/ISVLSI.2013.6654621}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/SrinivasanRAKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/MaistriTMKL13, author = {Paolo Maistri and S{\'{e}}bastien Tiran and Philippe Maurine and Israel Koren and R{\'{e}}gis Leveugle}, title = {Countermeasures against {EM} analysis for a secured FPGA-based {AES} implementation}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2013, Cancun, Mexico, December 9-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ReConFig.2013.6732274}, doi = {10.1109/RECONFIG.2013.6732274}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/MaistriTMKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/SreedharKK12, author = {Aswin Sreedhar and Sandip Kundu and Israel Koren}, title = {On Reliability Trojan Injection and Detection}, journal = {J. Low Power Electron.}, volume = {8}, number = {5}, pages = {674--683}, year = {2012}, url = {https://doi.org/10.1166/jolpe.2012.1225}, doi = {10.1166/JOLPE.2012.1225}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/SreedharKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BarenghiBKN12, author = {Alessandro Barenghi and Luca Breveglieri and Israel Koren and David Naccache}, title = {Fault Injection Attacks on Cryptographic Devices: Theory, Practice, and Countermeasures}, journal = {Proc. {IEEE}}, volume = {100}, number = {11}, pages = {3056--3076}, year = {2012}, url = {https://doi.org/10.1109/JPROC.2012.2188769}, doi = {10.1109/JPROC.2012.2188769}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/BarenghiBKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/KorenM12, author = {Israel Koren and Daniel Moss{\'{e}}}, title = {Special Issue: Selected papers from the 2011 {IEEE} International Green Computing Conference {(IGCC} 2011)}, journal = {Sustain. Comput. Informatics Syst.}, volume = {2}, number = {2}, pages = {57}, year = {2012}, url = {https://doi.org/10.1016/j.suscom.2012.06.001}, doi = {10.1016/J.SUSCOM.2012.06.001}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/KorenM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/RodriguesAKK12, author = {Rance Rodrigues and Arunachalam Annamalai and Israel Koren and Sandip Kundu}, title = {Improving performance per watt of asymmetric multi-core processors via online program phase classification and adaptive core morphing}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {18}, number = {1}, pages = {5:1--5:23}, year = {2012}, url = {https://doi.org/10.1145/2390191.2390196}, doi = {10.1145/2390191.2390196}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/RodriguesAKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WimerK12, author = {Shmuel Wimer and Israel Koren}, title = {The Optimal Fan-Out of Clock Network for Power Minimization by Adaptive Gating}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {10}, pages = {1772--1780}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2162861}, doi = {10.1109/TVLSI.2011.2162861}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WimerK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanTKK12, author = {Glenn H. Chapman and Rohit Thomas and Israel Koren and Zahava Koren}, title = {Relating digital imager defect rates to pixel size, sensor area and {ISO}}, booktitle = {2012 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2012, Austin, TX, USA, October 3-5, 2012}, pages = {164--169}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DFT.2012.6378218}, doi = {10.1109/DFT.2012.6378218}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanTKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/RodriguesKK12, author = {Rance Rodrigues and Israel Koren and Sandip Kundu}, title = {A mechanism to verify cache coherence transactions in multicore systems}, booktitle = {2012 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2012, Austin, TX, USA, October 3-5, 2012}, pages = {211--216}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DFT.2012.6378226}, doi = {10.1109/DFT.2012.6378226}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/RodriguesKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanLTNKK12, author = {Glenn H. Chapman and Jenny Leung and Rohit Thomas and Ana I. L. Namburete and Zahava Koren and Israel Koren}, editor = {Ralf Widenhorn and Val{\'{e}}rie Nguyen and Antoine Dupret}, title = {Projecting the rate of in-field pixel defects based on pixel size, sensor area, and {ISO}}, booktitle = {Sensors, Cameras, and Systems for Industrial and Scientific Applications XIII, Burlingame, California, USA, January 22-26, 2012}, series = {{SPIE} Proceedings}, volume = {8298}, pages = {82980E}, publisher = {{SPIE}}, year = {2012}, url = {https://doi.org/10.1117/12.910096}, doi = {10.1117/12.910096}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanLTNKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/WangKK12, author = {Huaping Wang and Israel Koren and C. Mani Krishna}, title = {Runtime architecture adaptation for energy management in embedded real-time systems}, booktitle = {2012 International Green Computing Conference, {IGCC} 2012, San Jose, CA, USA, June 4-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IGCC.2012.6322272}, doi = {10.1109/IGCC.2012.6322272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/WangKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/JainKKK12, author = {Abhinna Jain and C. M. Krishna and Israel Koren and Zahava Koren}, editor = {Jean{-}Louis Ferrier and Alain Bernard and Oleg Yu. Gusikhin and Kurosh Madani}, title = {Cost Functions for Scheduling Tasks in Cyber-physical Systems}, booktitle = {{ICINCO} 2012 - Proceedings of the 9th International Conference on Informatics in Control, Automation and Robotics, Volume 1, Rome, Italy, 28 - 31 July, 2012}, pages = {412--421}, publisher = {SciTePress}, year = {2012}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icinco/JainKKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/XuKK12, author = {Ye Xu and Israel Koren and C. M. Krishna}, editor = {Jean{-}Louis Ferrier and Alain Bernard and Oleg Yu. Gusikhin and Kurosh Madani}, title = {A Study of the Impact of Computational Delays in Missile Interception Systems}, booktitle = {{ICINCO} 2012 - Proceedings of the 9th International Conference on Informatics in Control, Automation and Robotics, Volume 1, Rome, Italy, 28 - 31 July, 2012}, pages = {585--588}, publisher = {SciTePress}, year = {2012}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icinco/XuKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ChapmanKK12, author = {Glenn H. Chapman and Israel Koren and Zahava Koren}, title = {Do more camera pixels result in a better picture?}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313844}, doi = {10.1109/IOLTS.2012.6313844}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ChapmanKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AnnamalaiRKK12, author = {Arunachalam Annamalai and Rance Rodrigues and Israel Koren and Sandip Kundu}, title = {Dynamic Thread Scheduling in Asymmetric Multicores to Maximize Performance-per-Watt}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium Workshops {\&} PhD Forum, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {964--971}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPSW.2012.118}, doi = {10.1109/IPDPSW.2012.118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AnnamalaiRKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/RodriguesAKK12, author = {Rance Rodrigues and Arunachalam Annamalai and Israel Koren and Sandip Kundu}, editor = {Jairo Panetta and Jos{\'{e}} E. Moreira and David A. Padua and Philippe O. A. Navaux}, title = {Scalable Thread Scheduling in Asymmetric Multicores for Power Efficiency}, booktitle = {{IEEE} 24th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2012, New York, NY, USA, October 24-26, 2012}, pages = {59--66}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SBAC-PAD.2012.40}, doi = {10.1109/SBAC-PAD.2012.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/RodriguesAKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/isc/RegazzoniBIK12, author = {Francesco Regazzoni and Luca Breveglieri and Paolo Ienne and Israel Koren}, editor = {Marc Joye and Michael Tunstall}, title = {Interaction Between Fault Attack Countermeasures and the Resistance Against Power Analysis Attacks}, booktitle = {Fault Analysis in Cryptography}, series = {Information Security and Cryptography}, pages = {257--272}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-29656-7\_15}, doi = {10.1007/978-3-642-29656-7\_15}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/isc/RegazzoniBIK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/KorenK11, author = {Israel Koren and C. M. Krishna}, title = {Temperature-aware computing}, journal = {Sustain. Comput. Informatics Syst.}, volume = {1}, number = {1}, pages = {46--56}, year = {2011}, url = {https://doi.org/10.1016/j.suscom.2010.10.004}, doi = {10.1016/J.SUSCOM.2010.10.004}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/KorenK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/WangKK11, author = {Huaping Wang and Israel Koren and C. Mani Krishna}, title = {Utilization-Based Resource Partitioning for Power-Performance Efficiency in {SMT} Processors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {7}, pages = {1150--1163}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2010.199}, doi = {10.1109/TPDS.2010.199}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/WangKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/RodriguesAKKK11, author = {Rance Rodrigues and Arunachalam Annamalai and Israel Koren and Sandip Kundu and Omer Khan}, editor = {Lawrence Rauchwerger and Vivek Sarkar}, title = {Performance Per Watt Benefits of Dynamic Core Morphing in Asymmetric Multicores}, booktitle = {2011 International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2011, Galveston, TX, USA, October 10-14, 2011}, pages = {121--130}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PACT.2011.18}, doi = {10.1109/PACT.2011.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/RodriguesAKKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/RodriguesKK11, author = {Rance Rodrigues and Israel Koren and Sandip Kundu}, editor = {Lawrence Rauchwerger and Vivek Sarkar}, title = {An Architecture to Enable Lifetime Full Chip Testability in Chip Multiprocessors}, booktitle = {2011 International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2011, Galveston, TX, USA, October 10-14, 2011}, pages = {219}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PACT.2011.52}, doi = {10.1109/PACT.2011.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/RodriguesKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/KhanNVKKM11, author = {Md. Muwyid U. Khan and Pritish Narayanan and Priyamvada Vijayakumar and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, title = {Biased Voting for Improved Yield in Nanoscale Fabrics}, booktitle = {2011 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2011, Vancouver, BC, Canada, October 3-5, 2011}, pages = {79--85}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DFT.2011.29}, doi = {10.1109/DFT.2011.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/KhanNVKKM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/RodriguesKK11, author = {Rance Rodrigues and Israel Koren and Sandip Kundu}, title = {An Architecture to Enable Life Cycle Testing in CMPs}, booktitle = {2011 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2011, Vancouver, BC, Canada, October 3-5, 2011}, pages = {341--348}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DFT.2011.26}, doi = {10.1109/DFT.2011.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/RodriguesKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanLNKK11, author = {Glenn H. Chapman and Jenny Leung and Ana I. L. Namburete and Israel Koren and Zahava Koren}, title = {Predicting Pixel Defect Rates Based on Image Sensor Parameters}, booktitle = {2011 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2011, Vancouver, BC, Canada, October 3-5, 2011}, pages = {408--416}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DFT.2011.58}, doi = {10.1109/DFT.2011.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanLNKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChapmanLTKK11, author = {Glenn H. Chapman and Jenny Leung and Rahul Thomas and Zahava Koren and Israel Koren}, editor = {Ralf Widenhorn and Val{\'{e}}rie Nguyen}, title = {Tradeoffs in imager design parameters for sensor reliability}, booktitle = {Sensors, Cameras, and Systems for Industrial, Scientific, and Consumer Applications XII, San Francisco Airport, California, USA, January 23-27, 2011}, series = {{SPIE} Proceedings}, volume = {7875}, pages = {78750I}, publisher = {{SPIE}}, year = {2011}, url = {https://doi.org/10.1117/12.876947}, doi = {10.1117/12.876947}, timestamp = {Tue, 08 Aug 2023 11:03:07 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChapmanLTKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/NarayananKPVSRL11, author = {Pritish Narayanan and Jorge Kina and Pavan Panchapakeshan and Priyamvada Vijayakumar and Kyeong{-}Sik Shin and Mostafizur Rahman and Michael Leuchtenburg and Israel Koren and Chi On Chui and Csaba Andras Moritz}, title = {Nanoscale Application Specific Integrated Circuits}, booktitle = {Proceedings of the 2011 {IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2011, San Diego, CA, USA, June 8-9, 2011}, pages = {99--106}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/NANOARCH.2011.5941490}, doi = {10.1109/NANOARCH.2011.5941490}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/NarayananKPVSRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/VijayakumarNKKM11, author = {Priyamvada Vijayakumar and Pritish Narayanan and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, title = {Impact of nanomanufacturing flow on systematic yield losses in nanoscale fabrics}, booktitle = {Proceedings of the 2011 {IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2011, San Diego, CA, USA, June 8-9, 2011}, pages = {181--188}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/NANOARCH.2011.5941502}, doi = {10.1109/NANOARCH.2011.5941502}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/VijayakumarNKKM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfidsec/BarenghiHBSRK11, author = {Alessandro Barenghi and C{\'{e}}dric Hocquet and David Bol and Fran{\c{c}}ois{-}Xavier Standaert and Francesco Regazzoni and Israel Koren}, editor = {Ari Juels and Christof Paar}, title = {Exploring the Feasibility of Low Cost Fault Injection Attacks on Sub-threshold Devices through an Example of a 65nm {AES} Implementation}, booktitle = {{RFID.} Security and Privacy - 7th International Workshop, RFIDSec 2011, Amherst, USA, June 26-28, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7055}, pages = {48--60}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-25286-0\_4}, doi = {10.1007/978-3-642-25286-0\_4}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rfidsec/BarenghiHBSRK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdtc/2011, editor = {Luca Breveglieri and Sylvain Guilley and Israel Koren and David Naccache and Junko Takahashi}, title = {2011 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2011, Tokyo, Japan, September 29, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6075045/proceeding}, isbn = {978-1-4577-1463-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/BarenghiBKPR10, author = {Alessandro Barenghi and Luca Breveglieri and Israel Koren and Gerardo Pelosi and Francesco Regazzoni}, title = {Countermeasures against fault attacks on software implemented {AES:} effectiveness and cost}, booktitle = {Proceedings of the 5th Workshop on Embedded Systems Security, {WESS} 2010, Scottsdale, AZ, USA, October 24, 2010}, pages = {7}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1873548.1873555}, doi = {10.1145/1873548.1873555}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/BarenghiBKPR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanLKK10, author = {Glenn H. Chapman and Jenny Leung and Israel Koren and Zahava Koren}, title = {Tradeoffs in Imager Design with Respect to Pixel Defect Rates}, booktitle = {25th {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} Systems, {DFT} 2010, Kyoto, Japan, October 6-8, 2010}, pages = {231--239}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DFT.2010.35}, doi = {10.1109/DFT.2010.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanLKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/VijayakumarNKKM10, author = {Priyamvada Vijayakumar and Pritish Narayanan and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, title = {Incorporating Heterogeneous Redundancy in a Nanoprocessor for Improved Yield and Performance}, booktitle = {25th {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} Systems, {DFT} 2010, Kyoto, Japan, October 6-8, 2010}, pages = {273--279}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DFT.2010.40}, doi = {10.1109/DFT.2010.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/VijayakumarNKKM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/DasRKK10, author = {Anup Das and Rance Rodrigues and Israel Koren and Sandip Kundu}, title = {A study on performance benefits of core morphing in an asymmetric multicore processor}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {17--22}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647566}, doi = {10.1109/ICCD.2010.5647566}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/DasRKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/ShabadiKNBKWM10, author = {Prasad Shabadi and Alexander Khitun and Pritish Narayanan and Mingqiang Bao and Israel Koren and Kang L. Wang and Csaba Andras Moritz}, editor = {Shamik Das and Iris Bahar and Michael T. Niemier}, title = {Towards logic functions as the device}, booktitle = {2010 {IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2010, Anaheim, CA, USA, June 17-18, 2010}, pages = {11--16}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NANOARCH.2010.5510934}, doi = {10.1109/NANOARCH.2010.5510934}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/ShabadiKNBKWM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdtc/2010, editor = {Luca Breveglieri and Marc Joye and Israel Koren and David Naccache and Ingrid Verbauwhede}, title = {2010 Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2010, Santa Barbara, California, USA, 21 August 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5575321/proceeding}, isbn = {978-0-7695-4169-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/SundaresanKKK09, author = {Srikanth Sundaresan and Israel Koren and Zahava Koren and C. Mani Krishna}, title = {Event-driven adaptive duty-cycling in sensor networks}, journal = {Int. J. Sens. Networks}, volume = {6}, number = {2}, pages = {89--100}, year = {2009}, url = {https://doi.org/10.1504/IJSNET.2009.029017}, doi = {10.1504/IJSNET.2009.029017}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsnet/SundaresanKKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/LeungCKK09, author = {Jenny Leung and Glenn H. Chapman and Israel Koren and Zahava Koren}, editor = {Dimitris Gizopoulos and Susumu Horiguchi and Spyros Tragoudas and Mohammad Tehranipoor}, title = {Characterization of Gain Enhanced In-Field Defects in Digital Imagers}, booktitle = {24th {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} Systems, {DFT} 2009, Chicago, Illinois, USA, October 7-9, 2009}, pages = {155--163}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DFT.2009.49}, doi = {10.1109/DFT.2009.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/LeungCKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dphoto/LeungCKK09, author = {Jenny Leung and Glenn H. Chapman and Zahava Koren and Israel Koren}, editor = {Brian G. Rodricks and Sabine S{\"{u}}sstrunk}, title = {Statistical identification and analysis of defect development in digital imagers}, booktitle = {Digital Photography V, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Jose, CA, USA, January 19-20, 2009, Proceedings}, series = {{SPIE} Proceedings}, volume = {7250}, pages = {72500}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.806109}, doi = {10.1117/12.806109}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dphoto/LeungCKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdtc/2009, editor = {Luca Breveglieri and Israel Koren and David Naccache and Elisabeth Oswald and Jean{-}Pierre Seifert}, title = {Sixth International Workshop on Fault Diagnosis and Tolerance in Cryptography, {FDTC} 2009, Lausanne, Switzerland, 6 September 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5412677/proceeding}, isbn = {978-0-7695-3824-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/WangKK08, author = {Huaping Wang and Israel Koren and C. Mani Krishna}, editor = {Andreas Moshovos and David Tarditi and Kunle Olukotun}, title = {An adaptive resource partitioning algorithm for {SMT} processors}, booktitle = {17th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29, 2008}, pages = {230--239}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1454115.1454148}, doi = {10.1145/1454115.1454148}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/WangKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/RegazzoniEBIK08, author = {Francesco Regazzoni and Thomas Eisenbarth and Luca Breveglieri and Paolo Ienne and Israel Koren}, editor = {Cristiana Bolchini and Yong{-}Bin Kim and Dimitris Gizopoulos and Mohammad Tehranipoor}, title = {Can Knowledge Regarding the Presence of Countermeasures Against Fault Attacks Simplify Power Attacks on Cryptographic Devices?}, booktitle = {23rd {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2008), 1-3 October 2008, Boston, MA, {USA}}, pages = {202--210}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DFT.2008.53}, doi = {10.1109/DFT.2008.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/RegazzoniEBIK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/LeungCKK08, author = {Jenny Leung and Glenn H. Chapman and Israel Koren and Zahava Koren}, editor = {Cristiana Bolchini and Yong{-}Bin Kim and Dimitris Gizopoulos and Mohammad Tehranipoor}, title = {Automatic Detection of In-field eld Defect Growth in Image Sensors}, booktitle = {23rd {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2008), 1-3 October 2008, Boston, MA, {USA}}, pages = {305--313}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DFT.2008.58}, doi = {10.1109/DFT.2008.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/LeungCKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdtc/2008, editor = {Luca Breveglieri and Shay Gueron and Israel Koren and David Naccache and Jean{-}Pierre Seifert}, title = {Fifth International Workshop on Fault Diagnosis and Tolerance in Cryptography, 2008, {FDTC} 2008, Washington, DC, USA, 10 August 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4599542/proceeding}, isbn = {978-0-7695-3314-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/HanKK07, author = {Yongkui Han and Israel Koren and C. Mani Krishna}, title = {{TILTS:} {A} Fast Architectural-Level Transient Thermal Simulation Method}, journal = {J. Low Power Electron.}, volume = {3}, number = {1}, pages = {13--21}, year = {2007}, url = {https://doi.org/10.1166/jolpe.2007.106}, doi = {10.1166/JOLPE.2007.106}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/HanKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/HanK07, author = {Yongkui Han and Israel Koren}, title = {Simulated Annealing Based Temperature Aware Floorplanning}, journal = {J. Low Power Electron.}, volume = {3}, number = {2}, pages = {141--155}, year = {2007}, url = {https://doi.org/10.1166/jolpe.2007.128}, doi = {10.1166/JOLPE.2007.128}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/HanK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BreveglieriKM07, author = {Luca Breveglieri and Israel Koren and Paolo Maistri}, title = {An Operation-Centered Approach to Fault Detection in Symmetric Cryptography Ciphers}, journal = {{IEEE} Trans. Computers}, volume = {56}, number = {5}, pages = {635--649}, year = {2007}, url = {https://doi.org/10.1109/TC.2007.1015}, doi = {10.1109/TC.2007.1015}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BreveglieriKM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ZhouLKK07, author = {Yizheng Zhou and Vijay Lakamraju and Israel Koren and C. M. Krishna}, title = {Software-Based Failure Detection and Recovery in Programmable Network Interfaces}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {11}, pages = {1539--1550}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.1093}, doi = {10.1109/TPDS.2007.1093}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ZhouLKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/RegazzoniEGBIKP07, author = {Francesco Regazzoni and Thomas Eisenbarth and Johann Gro{\ss}sch{\"{a}}dl and Luca Breveglieri and Paolo Ienne and Israel Koren and Christof Paar}, editor = {Cristiana Bolchini and Yong{-}Bin Kim and Adelio Salsano and Nur A. Touba}, title = {Power Attacks Resistance of Cryptographic S-Boxes with Added Error Detection Circuits}, booktitle = {22nd {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2007), 26-28 September 2007, Rome, Italy}, pages = {508--516}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DFT.2007.61}, doi = {10.1109/DFT.2007.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/RegazzoniEGBIKP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/LeungDCKK07, author = {Jenny Leung and Jozsef Dudas and Glenn H. Chapman and Israel Koren and Zahava Koren}, editor = {Cristiana Bolchini and Yong{-}Bin Kim and Adelio Salsano and Nur A. Touba}, title = {Quantitative Analysis of In-Field Defects in Image Sensor Arrays}, booktitle = {22nd {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2007), 26-28 September 2007, Rome, Italy}, pages = {526--534}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DFT.2007.59}, doi = {10.1109/DFT.2007.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/LeungDCKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dphoto/DudasWJCKK07, author = {Jozsef Dudas and Linda Wu and Cory Jung and Glenn H. Chapman and Zahava Koren and Israel Koren}, editor = {Russel A. Martin and Jeffrey M. DiCarlo and Nitin Sampat}, title = {Identification of in-field defect development in digital image sensors}, booktitle = {Digital Photography III, San Jose, CA, USA, January 29-30, 2007}, series = {{SPIE} Proceedings}, volume = {6502}, pages = {65020Y}, publisher = {{SPIE}}, year = {2007}, url = {https://doi.org/10.1117/12.704563}, doi = {10.1117/12.704563}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dphoto/DudasWJCKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/AgostaBPK07, author = {Giovanni Agosta and Luca Breveglieri and Gerardo Pelosi and Israel Koren}, editor = {Luca Breveglieri and Shay Gueron and Israel Koren and David Naccache and Jean{-}Pierre Seifert}, title = {Countermeasures against Branch Target Buffer Attacks}, booktitle = {Fourth International Workshop on Fault Diagnosis and Tolerance in Cryptography, 2007, {FDTC} 2007: Vienna, Austria, 10 September 2007}, pages = {75--79}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/FDTC.2007.4318987}, doi = {10.1109/FDTC.2007.4318987}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/AgostaBPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/GregoireK07, author = {Michael Gregoire and Israel Koren}, title = {An Adaptive Algorithm for Fault Tolerant Re-Routing in Wireless Sensor Networks}, booktitle = {Fifth Annual {IEEE} International Conference on Pervasive Computing and Communications - Workshops (PerCom Workshops 2007), 19-23 March 2007, White Plains, New York, {USA}}, pages = {542--547}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PERCOMW.2007.20}, doi = {10.1109/PERCOMW.2007.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/GregoireK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdtc/2007, editor = {Luca Breveglieri and Shay Gueron and Israel Koren and David Naccache and Jean{-}Pierre Seifert}, title = {Fourth International Workshop on Fault Diagnosis and Tolerance in Cryptography, 2007, {FDTC} 2007: Vienna, Austria, 10 September 2007}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4318971/proceeding}, isbn = {0-7695-2982-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BreveglieriK06, author = {Luca Breveglieri and Israel Koren}, title = {Guest Editors' Introduction: Special Section on Fault Diagnosis and Tolerance in Cryptography}, journal = {{IEEE} Trans. Computers}, volume = {55}, number = {9}, pages = {1073--1074}, year = {2006}, url = {https://doi.org/10.1109/TC.2006.149}, doi = {10.1109/TC.2006.149}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BreveglieriK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/DudasJWCKK06, author = {Jozsef Dudas and Cory Jung and Linda Wu and Glenn H. Chapman and Israel Koren and Zahava Koren}, title = {On-Line Mapping of In-Field Defects in Image Sensor Arrays}, booktitle = {21th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2006), 4-6 October 2006, Arlington, Virginia, {USA}}, pages = {439--447}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DFT.2006.48}, doi = {10.1109/DFT.2006.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/DudasJWCKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/BreveglieriKMR06, author = {Luca Breveglieri and Israel Koren and Paolo Maistri and M. Ravasio}, editor = {Luca Breveglieri and Israel Koren and David Naccache and Jean{-}Pierre Seifert}, title = {Incorporating Error Detection in an {RSA} Architecture}, booktitle = {Fault Diagnosis and Tolerance in Cryptography, Third International Workshop, {FDTC} 2006, Yokohama, Japan, October 10, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4236}, pages = {71--79}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889700\_7}, doi = {10.1007/11889700\_7}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/BreveglieriKMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdtc/BreveglieriKM06, author = {Luca Breveglieri and Israel Koren and Paolo Maistri}, editor = {Luca Breveglieri and Israel Koren and David Naccache and Jean{-}Pierre Seifert}, title = {A Fault Attack Against the {FOX} Cipher Family}, booktitle = {Fault Diagnosis and Tolerance in Cryptography, Third International Workshop, {FDTC} 2006, Yokohama, Japan, October 10, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4236}, pages = {98--105}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889700\_10}, doi = {10.1007/11889700\_10}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/BreveglieriKM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ZhouLKK06, author = {Yizheng Zhou and Vijay Lakamraju and Israel Koren and C. Mani Krishna}, title = {Software-Based Adaptive and Concurrent Self-Testing in Programmable Network Interfaces}, booktitle = {12th International Conference on Parallel and Distributed Systems, {ICPADS} 2006, Minneapolis, Minnesota, USA, July 12-15, 2006}, pages = {525--532}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPADS.2006.101}, doi = {10.1109/ICPADS.2006.101}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ZhouLKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/BekkermanLKK06, author = {A. Bekkerman and Vijay Lakamraju and Israel Koren and C. M. Krishna}, title = {Testing and Validation of the {CASA} {DCAS} System}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2006, July 31 - August 4, 2006, Denver, Colorado, USA, Proceedings}, pages = {1902--1905}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IGARSS.2006.491}, doi = {10.1109/IGARSS.2006.491}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/BekkermanLKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BreveglieriMK06, author = {Luca Breveglieri and Paolo Maistri and Israel Koren}, title = {A Note on Error Detection in an {RSA} Architecture by Means of Residue Codes}, booktitle = {12th {IEEE} International On-Line Testing Symposium {(IOLTS} 2006), 10-12 July 2006, Como, Italy}, pages = {176--177}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/IOLTS.2006.8}, doi = {10.1109/IOLTS.2006.8}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BreveglieriMK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangGKK06, author = {Huaping Wang and Yao Guo and Israel Koren and C. Mani Krishna}, title = {Compiler-based adaptive fetch throttling for energy-efficiency}, booktitle = {2006 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2006, March 19-21, 2006, Austin, Texas, USA, Proceedings}, pages = {112--119}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISPASS.2006.1620795}, doi = {10.1109/ISPASS.2006.1620795}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/WangGKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fdtc/2006, editor = {Luca Breveglieri and Israel Koren and David Naccache and Jean{-}Pierre Seifert}, title = {Fault Diagnosis and Tolerance in Cryptography, Third International Workshop, {FDTC} 2006, Yokohama, Japan, October 10, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4236}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11889700}, doi = {10.1007/11889700}, isbn = {3-540-46250-3}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdtc/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/WoK05, author = {Zhaojun Wo and Israel Koren}, title = {Synthesis of Saturating Counters Using Traditional and Non-Traditional Basic Counters}, booktitle = {17th {IEEE} Symposium on Computer Arithmetic {(ARITH-17} 2005), 27-29 June 2005, Cape Cod, MA, {USA}}, pages = {114--121}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ARITH.2005.42}, doi = {10.1109/ARITH.2005.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/WoK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WoK05, author = {Zhaojun Wo and Israel Koren}, editor = {Tingao Tang}, title = {Effective analytical delay model for transistor sizing}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {387--392}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120882}, doi = {10.1145/1120725.1120882}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WoK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/GoelKK05, author = {A. Goel and C. Mani Krishna and Israel Koren}, editor = {Thomas M. Conte and Paolo Faraboschi and William H. Mangione{-}Smith and Walid A. Najjar}, title = {Energy aware kernel for hard real-time systems}, booktitle = {Proceedings of the 2005 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2005, San Francisco, California, USA, September 24-27, 2005}, pages = {185--190}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1086297.1086322}, doi = {10.1145/1086297.1086322}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/GoelKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/WoKC05, author = {Zhaojun Wo and Israel Koren and Maciej J. Ciesielski}, title = {An {ILP} Formulation for Yield-driven Architectural Synthesis}, booktitle = {20th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}}, pages = {12--20}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DFTVS.2005.16}, doi = {10.1109/DFTVS.2005.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/WoKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BreveglieriKM05, author = {Luca Breveglieri and Israel Koren and Paolo Maistri}, title = {Incorporating Error Detection and Online Reconfiguration into a Regular Architecture for the Advanced Encryption Standard}, booktitle = {20th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}}, pages = {72--80}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DFTVS.2005.41}, doi = {10.1109/DFTVS.2005.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BreveglieriKM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChapmanKKDJ05, author = {Glenn H. Chapman and Israel Koren and Zahava Koren and Jozsef Dudas and Cory Jung}, title = {On-Line Identification of Faults in Fault-Tolerant Imagers}, booktitle = {20th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}}, pages = {149--157}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DFTVS.2005.54}, doi = {10.1109/DFTVS.2005.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChapmanKKDJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/WoKC05, author = {Zhaojun Wo and Israel Koren and Maciej J. Ciesielski}, title = {Yield-aware Floorplanning}, booktitle = {Eighth Euromicro Symposium on Digital Systems Design {(DSD} 2005), 30 August - 3 September 2005, Porto, Portugal}, pages = {247--253}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DSD.2005.80}, doi = {10.1109/DSD.2005.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/WoKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/WoK05, author = {Zhaojun Wo and Israel Koren}, title = {Technology Mapping for Reliability Enhancement in Logic Synthesis}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.118}, doi = {10.1109/ISQED.2005.118}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/WoK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChapmanDCAKK04, author = {Glenn H. Chapman and Sunjaya Djaja and Desmond Y. H. Cheung and Yves Audet and Israel Koren and Zahava Koren}, title = {A Self-Correcting Active Pixel Sensor Using Hardware and Software Correction}, journal = {{IEEE} Des. Test Comput.}, volume = {21}, number = {6}, pages = {544--551}, year = {2004}, url = {https://doi.org/10.1109/MDT.2004.74}, doi = {10.1109/MDT.2004.74}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChapmanDCAKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEinteract/UnsalKKM04, author = {Osman S. Unsal and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, title = {Cool-Fetch: {A} Compiler-Enabled {IPC} Estimation Based Framework for Energy Reduction}, booktitle = {8th Annual Workshop on Interaction between Compilers and Computer Architecture {(INTERACT-8} 2004), 15 February 2004, Madrid, Spain}, pages = {43--52}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/INTERA.2004.1299509}, doi = {10.1109/INTERA.2004.1299509}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEinteract/UnsalKKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/BreveglieriKM04, author = {Luca Breveglieri and Israel Koren and Paolo Maistri}, title = {Detecting Faults in Four Symmetric Key Block Ciphers}, booktitle = {15th {IEEE} International Conference on Application-Specific Systems, Architectures, and Processors {(ASAP} 2004), 27-29 September 2004, Galveston, TX, {USA}}, pages = {258--268}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASAP.2004.10035}, doi = {10.1109/ASAP.2004.10035}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/BreveglieriKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/ChhedaUKKM04, author = {Saurabh Chheda and Osman S. Unsal and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, editor = {Stamatis Vassiliadis and Jean{-}Luc Gaudiot and Vincenzo Piuri}, title = {Combining compiler and runtime {IPC} predictions to reduce energy in next generation architectures}, booktitle = {Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004}, pages = {240--254}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/977091.977125}, doi = {10.1145/977091.977125}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/ChhedaUKKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BertoniBKM04, author = {Guido Bertoni and Luca Breveglieri and Israel Koren and Paolo Maistri}, title = {An Efficient Hardware-Based Fault Diagnosis Scheme for {AES:} Performances and Cost}, booktitle = {19th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2004), 10-13 October 2004, Cannes, France, Proceedings}, pages = {130--138}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/DFT.2004.8}, doi = {10.1109/DFT.2004.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BertoniBKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/BreveglieriK04, author = {Luca Breveglieri and Israel Koren}, title = {Workshop on Fault Diagnosis and Tolerance in Cryptography}, booktitle = {2004 International Conference on Dependable Systems and Networks {(DSN} 2004), 28 June - 1 July 2004, Florence, Italy, Proceedings}, pages = {902}, publisher = {{IEEE} Computer Society}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dsn/BreveglieriK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/GuoCKKM04, author = {Yao Guo and Saurabh Chheda and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, title = {Energy Characterization of Hardware-Based Data Prefetching}, booktitle = {22nd {IEEE} International Conference on Computer Design: {VLSI} in Computers {\&} Processors {(ICCD} 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings}, pages = {518--523}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICCD.2004.1347971}, doi = {10.1109/ICCD.2004.1347971}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/GuoCKKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacs/GuoCKKM04, author = {Yao Guo and Saurabh Chheda and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, editor = {Babak Falsafi and T. N. Vijaykumar}, title = {Energy-Aware Data Prefetching for General-Purpose Programs}, booktitle = {Power-Aware Computer Systems, 4th International Workshop, {PACS} 2004, Portland, OR, USA, December 5, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3471}, pages = {78--94}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/11574859\_6}, doi = {10.1007/11574859\_6}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pacs/GuoCKKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/CioccaKKKK04, author = {E. Ciocca and Israel Koren and Zahava Koren and C. Mani Krishna and Daniel S. Katz}, title = {Application-Level Fault Tolerance in the Orbital Thermal Imaging Spectrometer}, booktitle = {10th {IEEE} Pacific Rim International Symposium on Dependable Computing {(PRDC} 2004), 3-5 March 2004, Papeete, Tahiti}, pages = {43--48}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/PRDC.2004.1276551}, doi = {10.1109/PRDC.2004.1276551}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/CioccaKKKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/UnsalK03, author = {Osman S. Unsal and Israel Koren}, title = {System-level power-aware design techniques in real-time systems}, journal = {Proc. {IEEE}}, volume = {91}, number = {7}, pages = {1055--1069}, year = {2003}, url = {https://doi.org/10.1109/JPROC.2003.814617}, doi = {10.1109/JPROC.2003.814617}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/UnsalK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BertoniBKMP03, author = {Guido Bertoni and Luca Breveglieri and Israel Koren and Paolo Maistri and Vincenzo Piuri}, title = {Error Analysis and Detection Procedures for a Hardware Implementation of the Advanced Encryption Standard}, journal = {{IEEE} Trans. Computers}, volume = {52}, number = {4}, pages = {492--505}, year = {2003}, url = {https://doi.org/10.1109/TC.2003.1190590}, doi = {10.1109/TC.2003.1190590}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BertoniBKMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/UnsalAKKM03, author = {Osman S. Unsal and Raksit Ashok and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, title = {Cool-Cache: {A} compiler-enabled energy efficient data caching framework for embedded/multimedia processors}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {2}, number = {3}, pages = {373--392}, year = {2003}, url = {https://doi.org/10.1145/860176.860182}, doi = {10.1145/860176.860182}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/UnsalAKKM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SinghK03, author = {Mandeep Singh and Israel Koren}, title = {Fault-sensitivity analysis and reliability enhancement of analog-to-digital converters}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {5}, pages = {839--852}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.812376}, doi = {10.1109/TVLSI.2003.812376}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SinghK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/KorenKO03, author = {Israel Koren and Yaron Koren and Bejoy G. Oomman}, title = {Saturating Counters: Application and Design Alternatives}, booktitle = {16th {IEEE} Symposium on Computer Arithmetic (Arith-16 2003), 15-18 June 2003, Santiago de Compostela, Spain}, pages = {228}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ARITH.2003.1207683}, doi = {10.1109/ARITH.2003.1207683}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/KorenKO03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/BertoniBKMP03, author = {Guido Bertoni and Luca Breveglieri and Israel Koren and Paolo Maistri and Vincenzo Piuri}, title = {Concurrent Fault Detection in a Hardware Implementation of the {RC5} Encryption Algorithm}, booktitle = {14th {IEEE} International Conference on Application-Specific Systems, Architectures, and Processors {(ASAP} 2003), 24-26 June 2003, The Hague, The Netherlands}, pages = {423--432}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ASAP.2003.1212865}, doi = {10.1109/ASAP.2003.1212865}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/BertoniBKMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BertoniBKMP03, author = {Guido Bertoni and Luca Breveglieri and Israel Koren and Paolo Maistri and Vincenzo Piuri}, title = {Detecting and Locating Faults in {VLSI} Implementations of the Advanced Encryption Standard}, booktitle = {18th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2003), 3-5 November 2003, Boston, MA, USA, Proceedings}, pages = {105}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DFTVS.2003.1250101}, doi = {10.1109/DFTVS.2003.1250101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BertoniBKMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/MaheshwariKB03, author = {Atul Maheshwari and Israel Koren and Wayne P. Burleson}, title = {Techniques for Transient Fault Sensitivity Analysis and Reduction in {VLSI} Circuits}, booktitle = {18th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2003), 3-5 November 2003, Boston, MA, USA, Proceedings}, pages = {597}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DFTVS.2003.1250160}, doi = {10.1109/DFTVS.2003.1250160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/MaheshwariKB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/LakamrajuKK03, author = {Vijay Lakamraju and Israel Koren and C. Mani Krishna}, title = {Low Overhead Fault Tolerant Networking in Myrinet}, booktitle = {2003 International Conference on Dependable Systems and Networks {(DSN} 2003), 22-25 June 2003, San Francisco, CA, USA, Proceedings}, pages = {193--202}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DSN.2003.1209930}, doi = {10.1109/DSN.2003.1209930}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/LakamrajuKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/NairKKK03, author = {Jayakrishnan Nair and Zahava Koren and Israel Koren and C. Mani Krishna}, title = {Pre-Processing Input Data to Augment Fault Tolerance in Space Applications}, booktitle = {2003 International Conference on Dependable Systems and Networks {(DSN} 2003), 22-25 June 2003, San Francisco, CA, USA, Proceedings}, pages = {491--500}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DSN.2003.1209959}, doi = {10.1109/DSN.2003.1209959}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/NairKKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/RoychowdhuryKKL03, author = {Diganta Roychowdhury and Israel Koren and C. Mani Krishna and Yann{-}Hang Lee}, title = {A Voltage Scheduling Heuristic for Real-Time Task Graphs}, booktitle = {2003 International Conference on Dependable Systems and Networks {(DSN} 2003), 22-25 June 2003, San Francisco, CA, USA, Proceedings}, pages = {741--750}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DSN.2003.1209989}, doi = {10.1109/DSN.2003.1209989}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/RoychowdhuryKKL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KorenS03, author = {Israel Koren and Julie D. Segal}, title = {Optimizing the Yield of {VLSI} Circuits}, booktitle = {4th International Symposium on Quality of Electronic Design {(ISQED} 2003), 24-26 March 2003, San Jose, CA, {USA}}, pages = {7}, publisher = {{IEEE} Computer Society}, year = {2003}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/KorenS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/UnsalKKM02, author = {Osman S. Unsal and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, title = {Cool-Fetch: Compiler-Enabled Power-Aware Fetch Throttling}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {1}, year = {2002}, url = {https://doi.org/10.1109/L-CA.2002.3}, doi = {10.1109/L-CA.2002.3}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/UnsalKKM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KarriIK02, author = {Ramesh Karri and Balakrishnan Iyer and Israel Koren}, title = {Phantom redundancy: a register transfer level technique for gracefully degradable data path synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {21}, number = {8}, pages = {877--888}, year = {2002}, url = {https://doi.org/10.1109/TCAD.2002.800450}, doi = {10.1109/TCAD.2002.800450}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KarriIK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LakamrajuKK02, author = {Vijay Lakamraju and Israel Koren and C. Mani Krishna}, title = {Filtering Random Graphs to Synthesize Interconnection Networks with Multiple Objectives}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {13}, number = {11}, pages = {1139--1149}, year = {2002}, url = {https://doi.org/10.1109/TPDS.2002.1058097}, doi = {10.1109/TPDS.2002.1058097}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LakamrajuKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/BertoniBKMP02, author = {Guido Bertoni and Luca Breveglieri and Israel Koren and Paolo Maistri and Vincenzo Piuri}, title = {On the Propagation of Faults and Their Detection in a Hardware Implementation of the Advanced Encryption Standard}, booktitle = {13th {IEEE} International Conference on Application-Specific Systems, Architectures, and Processors {(ASAP} 2002), 17-19 July 2002, San Jose, CA, {USA}}, pages = {303}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASAP.2002.1030729}, doi = {10.1109/ASAP.2002.1030729}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/BertoniBKMP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/BertoniBKMP02, author = {Guido Bertoni and Luca Breveglieri and Israel Koren and Paolo Maistri and Vincenzo Piuri}, title = {A Parity Code Based Fault Detection for an Implementation of the Advanced Encryption Standard}, booktitle = {17th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2002), 6-8 November 2002, Vancouver, BC, Canada, Proceedings}, pages = {51--59}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DFTVS.2002.1173501}, doi = {10.1109/DFTVS.2002.1173501}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/BertoniBKMP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/UnsalKKM02, author = {Osman S. Unsal and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, title = {The Minimax Cache: An Energy-Efficient Framework for Media Processors}, booktitle = {Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002}, pages = {131--140}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/HPCA.2002.995704}, doi = {10.1109/HPCA.2002.995704}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/UnsalKKM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KorenRKKWL02, author = {Zahava Koren and J. Rajagopal and C. Mani Krishna and Israel Koren and W. Wang and J. Loman}, title = {Using Rational Approximations for Evaluating the Reliablity of Highly Reliable Systems}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1016683}, doi = {10.1109/IPDPS.2002.1016683}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KorenRKKWL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MorinKK02, author = {Steven Morin and Israel Koren and C. Mani Krishna}, title = {{JMPI:} Implementing the Message Passing Standard in Java}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1016505}, doi = {10.1109/IPDPS.2002.1016505}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MorinKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/UnsalKK02, author = {Osman S. Unsal and Israel Koren and C. Mani Krishna}, editor = {Vivek De and Mary Jane Irwin and Ingrid Verbauwhede and Christian Piguet}, title = {Towards energy-aware software-based fault tolerance in real-time systems}, booktitle = {Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002}, pages = {124--129}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/566408.566442}, doi = {10.1145/566408.566442}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/UnsalKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SinghK02, author = {Mandeep Singh and Israel Koren}, title = {Incorporating Fault Tolerance in Analog-to-Digital Converters (ADCs)}, booktitle = {3rd International Symposium on Quality of Electronic Design, {ISQED} 2002, San Jose, CA, USA, March 18-21, 2002}, pages = {286--291}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISQED.2002.996753}, doi = {10.1109/ISQED.2002.996753}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/SinghK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/DurairajKK01, author = {G. Durairaj and Israel Koren and C. Mani Krishna}, title = {Importance Sampling to Evaluate Real-time System Reliability: {A} Case Study}, journal = {Simul.}, volume = {76}, number = {3}, pages = {172--182}, year = {2001}, url = {https://doi.org/10.1177/003754970107600304}, doi = {10.1177/003754970107600304}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/DurairajKK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PhatakGK01, author = {Dhananjay S. Phatak and Tom Goff and Israel Koren}, title = {Constant-Time Addition and Simultaneous Format Conversion Based on Redundant Binary Representations}, journal = {{IEEE} Trans. Computers}, volume = {50}, number = {11}, pages = {1267--1278}, year = {2001}, url = {https://doi.org/10.1109/12.966499}, doi = {10.1109/12.966499}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PhatakGK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/KorenKC01, author = {Israel Koren and Zahava Koren and Glenn H. Chapman}, title = {Advanced Fault-Tolerance Techniques for a Color Digital Camera-on-a-Chip}, booktitle = {16th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2001), 24-26 October 2001, San Francisco, CA, USA, Proceedings}, pages = {3--10}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DFTVS.2001.966746}, doi = {10.1109/DFTVS.2001.966746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/KorenKC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/SinghK01, author = {Mandeep Singh and Israel Koren}, title = {Reliability Enhancement of Analog-to-Digital Converters (ADCs)}, booktitle = {16th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2001), 24-26 October 2001, San Francisco, CA, USA, Proceedings}, pages = {347}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DFTVS.2001.966788}, doi = {10.1109/DFTVS.2001.966788}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/SinghK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/UnsalAKKM01, author = {Osman S. Unsal and Raksit Ashok and Israel Koren and C. Mani Krishna and Csaba Andras Moritz}, editor = {Yale N. Patt and Josh Fisher and Paolo Faraboschi and Kevin Skadron}, title = {Cool-cache for hot multimedia}, booktitle = {Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001}, pages = {274--283}, publisher = {{ACM/IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/MICRO.2001.991125}, doi = {10.1109/MICRO.2001.991125}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/UnsalAKKM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenK00, author = {Israel Koren and Zahava Koren}, title = {Incorporating Yield Enhancement into the Floorplanning Process}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {6}, pages = {532--541}, year = {2000}, url = {https://doi.org/10.1109/12.862213}, doi = {10.1109/12.862213}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenK00a, author = {Israel Koren and Peter Kornerup}, title = {Guest Editors' Introduction - Special Issue on Computer Arithmetic}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {7}, pages = {625--627}, year = {2000}, url = {https://doi.org/10.1109/TC.2000.863030}, doi = {10.1109/TC.2000.863030}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenK00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/HainesLKK00, author = {Joshua Haines and Vijay Lakamraju and Israel Koren and C. Mani Krishna}, title = {Application-Level Fault Tolerance as a Complement to System-Level Fault Tolerance}, journal = {J. Supercomput.}, volume = {16}, number = {1-2}, pages = {53--68}, year = {2000}, url = {https://doi.org/10.1023/A:1008181429693}, doi = {10.1023/A:1008181429693}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/HainesLKK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/PrasadK00, author = {Rajnish K. Prasad and Israel Koren}, title = {The Effect of Placement on Yield for Standard Cell Designs}, booktitle = {15th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2000), 25-27 October 2000, Yamanashi, Japan, Proceedings}, pages = {3--11}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/DFTVS.2000.886968}, doi = {10.1109/DFTVS.2000.886968}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/PrasadK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/KorenKC00, author = {Israel Koren and Zahava Koren and Glenn H. Chapman}, title = {A Self-Correcting Active Pixel Camera}, booktitle = {15th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2000), 25-27 October 2000, Yamanashi, Japan, Proceedings}, pages = {56}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/DFTVS.2000.886974}, doi = {10.1109/DFTVS.2000.886974}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/KorenKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/UnsalKK00, author = {Osman S. Unsal and Israel Koren and C. Mani Krishna}, editor = {Jos{\'{e}} D. P. Rolim}, title = {Power-Aware Replication of Data Structures in Distributed Embedded Real-Time Systems}, booktitle = {Parallel and Distributed Processing, 15 {IPDPS} 2000 Workshops, Cancun, Mexico, May 1-5, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1800}, pages = {839--846}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45591-4\_115}, doi = {10.1007/3-540-45591-4\_115}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/UnsalKK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/Koren00, author = {Israel Koren}, title = {Should Yield be a Design Objective?}, booktitle = {1st International Symposium on Quality of Electronic Design {(ISQED} 2000), 20-22 March 2000, San Jose, CA, {USA}}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISQED.2000.838863}, doi = {10.1109/ISQED.2000.838863}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/Koren00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/AlbonesiK99, author = {David H. Albonesi and Israel Koren}, title = {{STATS:} {A} framework for microprocessor and system-level design space exploration}, journal = {J. Syst. Archit.}, volume = {45}, number = {12-13}, pages = {1097--1110}, year = {1999}, url = {https://doi.org/10.1016/S1383-7621(98)00052-6}, doi = {10.1016/S1383-7621(98)00052-6}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/AlbonesiK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/PhatakK99, author = {Dhananjay S. Phatak and Israel Koren}, title = {Intermediate Variable Encodings that Enable Multiplexor-Based Implementations of Two Operand Addition}, booktitle = {14th {IEEE} Symposium on Computer Arithmetic (Arith-14 '99), 14-16 April 1999, Adelaide, Australia}, pages = {22--29}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ARITH.1999.762824}, doi = {10.1109/ARITH.1999.762824}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/PhatakK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/VenkataramanK99, author = {Arunshankar Venkataraman and Israel Koren}, title = {Determination of Yield Bounds Prior to Routing}, booktitle = {14th International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} '99), November 1-3, 1999, Albuquerque, NM, USA, Proceedings}, pages = {4--13}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/DFTVS.1999.802863}, doi = {10.1109/DFTVS.1999.802863}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/VenkataramanK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KorenK98, author = {Israel Koren and Zahava Koren}, title = {Defect tolerance in {VLSI} circuits: techniques and yield analysis}, journal = {Proc. {IEEE}}, volume = {86}, number = {9}, pages = {1819--1838}, year = {1998}, url = {https://doi.org/10.1109/5.705525}, doi = {10.1109/5.705525}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/KorenK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/KorenK98, author = {Israel Koren and Zahava Koren}, title = {Yield and Routing Objectives in Floorplanning}, booktitle = {13th International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} '98), 2-4 November 1998, Austin, TX, USA, Proceedings}, pages = {28--36}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/DFTVS.1998.732148}, doi = {10.1109/DFTVS.1998.732148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/KorenK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LakamrajuKKK98, author = {Vijay Lakamraju and Zahava Koren and Israel Koren and C. Mani Krishna}, editor = {Jos{\'{e}} D. P. Rolim}, title = {Measuring the Vulnerability of Interconnection Networks in Embedded Systems}, booktitle = {Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30 - April 3, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1388}, pages = {919--924}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/3-540-64359-1\_756}, doi = {10.1007/3-540-64359-1\_756}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/LakamrajuKKK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KorenKK98, author = {Zahava Koren and Israel Koren and C. Mani Krishna}, editor = {Jos{\'{e}} D. P. Rolim}, title = {Surge Handling as a Measure of Real-Time System Dependability}, booktitle = {Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30 - April 3, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1388}, pages = {1106--1116}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/3-540-64359-1\_776}, doi = {10.1007/3-540-64359-1\_776}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/KorenKK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KorenK97, author = {Zahava Koren and Israel Koren}, title = {On the effect of floorplanning on the yield of large area integrated circuits}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {5}, number = {1}, pages = {3--14}, year = {1997}, url = {https://doi.org/10.1109/92.555982}, doi = {10.1109/92.555982}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KorenK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/AlbonesiK97, author = {David H. Albonesi and Israel Koren}, title = {Improving the Memory Bandwidth of Highly-Integrated, Wide-Issue, Microprocessor-Based Systems}, booktitle = {Proceedings of the 1997 Conference on Parallel Architectures and Compilation Techniques {(PACT} '97), San Francisco, CA, USA, October 11-15, 1997}, pages = {126--135}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/PACT.1997.644009}, doi = {10.1109/PACT.1997.644009}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/AlbonesiK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChenK97, author = {Zhan Chen and Israel Koren}, title = {Crosstalk Minimization in Three-Layer {HVH} Channel Routing}, booktitle = {1997 Workshop on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} '97), 20-22 October 1997, Paris, France}, pages = {38--43}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/DFTVS.1997.628307}, doi = {10.1109/DFTVS.1997.628307}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChenK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/KorenK97, author = {Israel Koren and Zahava Koren}, title = {Analysis of a Hybrid Defect-Tolerance Scheme for High-Density Memory ICs}, booktitle = {1997 Workshop on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} '97), 20-22 October 1997, Paris, France}, pages = {166--174}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/DFTVS.1997.628322}, doi = {10.1109/DFTVS.1997.628322}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/KorenK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/AlbonesiK96, author = {David H. Albonesi and Israel Koren}, title = {A Mean Analysis Multiprocessor Model Incorporating Superscalar Processors and Latency Tolerating Techniques}, journal = {Int. J. Parallel Program.}, volume = {24}, number = {3}, pages = {235--264}, year = {1996}, url = {https://doi.org/10.1007/bf03356750}, doi = {10.1007/BF03356750}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/AlbonesiK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/VenkataramanK96, author = {Arunshankar Venkataraman and Israel Koren}, title = {Trade-offs between yield and reliability enhancement {[VLSI]}}, booktitle = {1996 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 1996, Boston, MA, USA, November 6-8, 1996}, pages = {68--76}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/DFTVS.1996.571993}, doi = {10.1109/DFTVS.1996.571993}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/VenkataramanK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChenK96, author = {Zhan Chen and Israel Koren}, title = {Layer Reassignment for Antenna Effect Minimization in 3-Layer Channel Routing}, booktitle = {1996 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 1996, Boston, MA, USA, November 6-8, 1996}, pages = {77--85}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/DFTVS.1996.571996}, doi = {10.1109/DFTVS.1996.571996}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChenK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/PhatakK95, author = {Dhananjay S. Phatak and Israel Koren}, title = {Complete and partial fault tolerance of feedforward neural nets}, journal = {{IEEE} Trans. Neural Networks}, volume = {6}, number = {2}, pages = {446--456}, year = {1995}, url = {https://doi.org/10.1109/72.363479}, doi = {10.1109/72.363479}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/PhatakK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/AlbonesiK95, author = {David H. Albonesi and Israel Koren}, editor = {Lubomir Bic and Paraskevas Evripidou and A. P. Wim B{\"{o}}hm and Jean{-}Luc Gaudiot}, title = {An analytical model of high performance superscalar-based multiprocessors}, booktitle = {Proceedings of the {IFIP} {WG10.3} working conference on Parallel architectures and compilation techniques, {PACT} '95, Limassol, Cyprus, June 27-29, 1995}, pages = {194--203}, publisher = {{IFIP} Working Group on Algol / {ACM}}, year = {1995}, url = {http://dl.acm.org/citation.cfm?id=224725}, timestamp = {Thu, 07 Apr 2016 15:27:42 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/AlbonesiK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/ChenK95, author = {Zhan Chen and Israel Koren}, title = {Techniques for Yield Enhancement of {VLSI} Adders}, booktitle = {The International Conference on Application Specific Array Processors (ASAP'95), July 24-26, 1995, Strasbourg, France}, pages = {222--229}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ASAP.1995.522926}, doi = {10.1109/ASAP.1995.522926}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/ChenK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/WagnerK95, author = {Israel A. Wagner and Israel Koren}, title = {The effect of spot defects on the parametric yield of long interconnection lines}, booktitle = {1995 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 1995, Lafayette, LA, USA, November 13-15, 1995}, pages = {46--54}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/DFTVS.1995.476936}, doi = {10.1109/DFTVS.1995.476936}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/WagnerK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChenK95, author = {Zhan Chen and Israel Koren}, title = {Layer assignment for yield enhancement}, booktitle = {1995 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 1995, Lafayette, LA, USA, November 13-15, 1995}, pages = {173--180}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/DFTVS.1995.476950}, doi = {10.1109/DFTVS.1995.476950}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChenK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IyerKK95, author = {Balakrishnan Iyer and Ramesh Karri and Israel Koren}, editor = {Richard L. Rudell}, title = {Phantom redundancy: a high-level synthesis approach for manufacturability}, booktitle = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995}, pages = {658--661}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1995}, url = {https://doi.org/10.1109/ICCAD.1995.480199}, doi = {10.1109/ICCAD.1995.480199}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IyerKK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/AlbonesiK95, author = {David H. Albonesi and Israel Koren}, title = {Architecture and technology tradeoffs in the design of next-generation multiprocessor servers}, booktitle = {Proceedings of the Seventh {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1995, San Antonio, Texas , USA, October 25-28, 1995}, pages = {174--181}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/SPDP.1995.530681}, doi = {10.1109/SPDP.1995.530681}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/AlbonesiK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PhatakK94, author = {Dhananjay S. Phatak and Israel Koren}, title = {Hybrid Signed-Digit Number Systems: {A} Unified Framework for Redundant Number Representations With Bounded Carry Propagation Chains}, journal = {{IEEE} Trans. Computers}, volume = {43}, number = {8}, pages = {880--891}, year = {1994}, url = {https://doi.org/10.1109/12.295850}, doi = {10.1109/12.295850}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/PhatakK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeveugleKKSW94, author = {R{\'{e}}gis Leveugle and Zahava Koren and Israel Koren and Gabriele Saucier and Norbert Wehn}, title = {The Hyeti Defect Tolerant Microprocessor: {A} Practical Experiment and its Cost-Effectiveness Analysis}, journal = {{IEEE} Trans. Computers}, volume = {43}, number = {12}, pages = {1398--1406}, year = {1994}, url = {https://doi.org/10.1109/12.338099}, doi = {10.1109/12.338099}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeveugleKKSW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/PhatakK94, author = {Dhananjay S. Phatak and Israel Koren}, title = {Connectivity and performance tradeoffs in the cascade correlation learning architecture}, journal = {{IEEE} Trans. Neural Networks}, volume = {5}, number = {6}, pages = {930--935}, year = {1994}, url = {https://doi.org/10.1109/72.329690}, doi = {10.1109/72.329690}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/PhatakK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KorenKS94, author = {Israel Koren and Zahava Koren and Charles H. Stapper}, title = {A statistical study of defect maps of large area {VLSI} IC's}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {2}, number = {2}, pages = {249--256}, year = {1994}, url = {https://doi.org/10.1109/92.285750}, doi = {10.1109/92.285750}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KorenKS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChiluvuriKB94, author = {Venkat K. R. Chiluvuri and Israel Koren and Jeffrey L. Burns}, title = {The Effect of Wire Length Minimization on Yield}, booktitle = {The {IEEE} International Workshop on Defect and Fault Tolerance in {VLSI} Systems, October 17-19, 1994, Montr{\'{e}}al, Quebec, Canada, Proceedings}, pages = {97--105}, publisher = {{IEEE} Computer Society}, year = {1994}, timestamp = {Mon, 03 Feb 2003 15:42:59 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChiluvuriKB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChenK94, author = {Zhan Chen and Israel Koren}, title = {A Yield Study of {VLSI} Adders}, booktitle = {The {IEEE} International Workshop on Defect and Fault Tolerance in {VLSI} Systems, October 17-19, 1994, Montr{\'{e}}al, Quebec, Canada, Proceedings}, pages = {239--245}, publisher = {{IEEE} Computer Society}, year = {1994}, timestamp = {Fri, 24 Jan 2003 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChenK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/DasguptaK94, author = {Aurobindo Dasgupta and Israel Koren}, title = {An Algorithm for Area and Delay Optimization of Sequential Machines through Decomposition}, booktitle = {27th Annual Hawaii International Conference on System Sciences (HICSS-27), January 4-7, 1994, Maui, Hawaii, {USA}}, pages = {36--45}, publisher = {{IEEE} Computer Society}, year = {1994}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/DasguptaK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifipPACT/AlbonesiK94, author = {David H. Albonesi and Israel Koren}, editor = {Michel Cosnard and Guang R. Gao and Gabriel M. Silberman}, title = {Tradeoffs in the Design of Single Chip Multiprocessors}, booktitle = {Parallel Architectures and Compilation Techniques, Proceedings of the {IFIP} {WG10.3} Working Conference on Parallel Architectures and Compilation Techniques, PACT'94, Montr{\'{e}}al, Canada, 24-26 August, 1994}, series = {{IFIP} Transactions}, volume = {{A-50}}, pages = {25--34}, publisher = {North-Holland}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=713825}, timestamp = {Thu, 25 Sep 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifipPACT/AlbonesiK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0080785, author = {Israel Koren}, title = {Computer arithmetic algorithms}, publisher = {Prentice Hall}, year = {1993}, isbn = {978-0-13-151952-7}, timestamp = {Fri, 29 Apr 2011 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0080785.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/WimerKC93, author = {Shmuel Wimer and Israel Koren and Israel Cederbaum}, title = {On Paths with the Shortest Average Arc Length in Weighted Graphs}, journal = {Discret. Appl. Math.}, volume = {45}, number = {2}, pages = {169--179}, year = {1993}, url = {https://doi.org/10.1016/0166-218X(93)90059-W}, doi = {10.1016/0166-218X(93)90059-W}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/WimerKC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neco/PhatakCK93, author = {Dhananjay S. Phatak and Hoon Choi and Israel Koren}, title = {Construction of Minimal \emph{n-}2\emph{-n} Encoders for Any \emph{n}}, journal = {Neural Comput.}, volume = {5}, number = {5}, pages = {783--794}, year = {1993}, url = {https://doi.org/10.1162/neco.1993.5.5.783}, doi = {10.1162/NECO.1993.5.5.783}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neco/PhatakCK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenKS93, author = {Israel Koren and Zahava Koren and Charles H. Stapper}, title = {A Unified Negative-Binomial Distribution for Yield Analysis of Defect-Tolerant Circuits}, journal = {{IEEE} Trans. Computers}, volume = {42}, number = {6}, pages = {724--734}, year = {1993}, url = {https://doi.org/10.1109/12.277291}, doi = {10.1109/12.277291}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenKS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/EisigRK93, author = {David Eisig and Josh Rotstain and Israel Koren}, editor = {Earl E. Swartzlander Jr. and Mary Jane Irwin and Graham A. Jullien}, title = {The design of a 64-bit integer multiplier/divider unit}, booktitle = {11th Symposium on Computer Arithmetic, 29 June - 2 July 1993, Windsor, Canada, Proceedings}, pages = {171--178}, publisher = {{IEEE} Computer Society/}, year = {1993}, url = {https://doi.org/10.1109/ARITH.1993.378095}, doi = {10.1109/ARITH.1993.378095}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/arith/EisigRK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/MendelsonK93, author = {Bilha Mendelson and Israel Koren}, title = {Mapping algorithms onto a multiple-chip data-driven array}, booktitle = {International Conference on Application-Specific Array Processors, {ASAP} 1993, Proceedings, Venice, Italy, 25-27 October, 1993}, pages = {41--52}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/ASAP.1993.397119}, doi = {10.1109/ASAP.1993.397119}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asap/MendelsonK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/KorenK93, author = {Zahava Koren and Israel Koren}, editor = {Fabrizio Lombardi and Mariagiovanna Sami and Yvon Savaria and Renato Stefanelli}, title = {Does the Floorplan of a Chip Affect Its Yield?}, booktitle = {The {IEEE} International Workshop on Defect and Fault Tolerance in {VLSI} Systems, October 27-29, 1993, Venice, Italy, Proceedings}, pages = {159--166}, publisher = {{IEEE} Computer Society}, year = {1993}, timestamp = {Mon, 03 Feb 2003 15:42:56 +0100}, biburl = {https://dblp.org/rec/conf/dft/KorenK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/WagnerK93, author = {Israel A. Wagner and Israel Koren}, editor = {Fabrizio Lombardi and Mariagiovanna Sami and Yvon Savaria and Renato Stefanelli}, title = {An Interactive Yield Estimator as a {VLSI} {CAD} Tool}, booktitle = {The {IEEE} International Workshop on Defect and Fault Tolerance in {VLSI} Systems, October 27-29, 1993, Venice, Italy, Proceedings}, pages = {167--174}, publisher = {{IEEE} Computer Society}, year = {1993}, timestamp = {Mon, 27 Jan 2003 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/WagnerK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChiluvuriK93, author = {Venkat K. R. Chiluvuri and Israel Koren}, editor = {Fabrizio Lombardi and Mariagiovanna Sami and Yvon Savaria and Renato Stefanelli}, title = {Topological Optimization of PLAs for Yield Enhancement}, booktitle = {The {IEEE} International Workshop on Defect and Fault Tolerance in {VLSI} Systems, October 27-29, 1993, Venice, Italy, Proceedings}, pages = {175--182}, publisher = {{IEEE} Computer Society}, year = {1993}, timestamp = {Mon, 27 Jan 2003 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChiluvuriK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PhatakKC93, author = {Dhananjay S. Phatak and Israel Koren and Hoon Choi}, title = {Hybrid Number Representations with Bounded Carry Propagation Chains}, booktitle = {Proceedings 1993 International Conference on Computer Design: {VLSI} in Computers {\&} Processors, {ICCD} '93, Cambridge, MA, USA, October 3-6, 1993}, pages = {272--275}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/ICCD.1993.393367}, doi = {10.1109/ICCD.1993.393367}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PhatakKC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/CederbaumKW92, author = {Israel Cederbaum and Israel Koren and Shmuel Wimer}, title = {Balanced Block Spacing for {VLSI} Layout}, journal = {Discret. Appl. Math.}, volume = {40}, number = {3}, pages = {303--318}, year = {1992}, url = {https://doi.org/10.1016/0166-218X(92)90003-S}, doi = {10.1016/0166-218X(92)90003-S}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/CederbaumKW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/MendelsonK92, author = {Bilha Mendelson and Israel Koren}, title = {Estimating the Potential Parallelism and Pipelining of Algorithms for Data Flow Machines}, journal = {J. Parallel Distributed Comput.}, volume = {14}, number = {1}, pages = {15--28}, year = {1992}, url = {https://doi.org/10.1016/0743-7315(92)90094-4}, doi = {10.1016/0743-7315(92)90094-4}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/MendelsonK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/SitaramKK91, author = {Dipak Sitaram and Israel Koren and C. Mani Krishna}, title = {A Random Distributed Algorithm to Embed Trees in Partially Faulty Processor Arrays}, journal = {J. Parallel Distributed Comput.}, volume = {12}, number = {1}, pages = {1--11}, year = {1991}, url = {https://doi.org/10.1016/0743-7315(91)90024-4}, doi = {10.1016/0743-7315(91)90024-4}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/SitaramKK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenK91, author = {Israel Koren and Zahava Koren}, title = {Discrete and Continuous Models for the Performance of Reconfigurable Multistage Systems}, journal = {{IEEE} Trans. Computers}, volume = {40}, number = {9}, pages = {1024--1033}, year = {1991}, url = {https://doi.org/10.1109/12.83658}, doi = {10.1109/12.83658}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/MendelsonK91, author = {Bilha Mendelson and Israel Koren}, title = {Using Simulated Annealing for Mapping Algorithms onto Data Driven Arrays}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {I:} Architecture/Hardware}, pages = {123--127}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 17:06:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/MendelsonK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/KorenS90, author = {Israel Koren and Adit D. Singh}, title = {Fault Tolerance in {VLSI} Circuits}, journal = {Computer}, volume = {23}, number = {7}, pages = {73--83}, year = {1990}, url = {https://doi.org/10.1109/2.56854}, doi = {10.1109/2.56854}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/KorenS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenZ90, author = {Israel Koren and Ofra Zinaty}, title = {Evaluating Elementary Functions in a Numerical Coprocessor Based on Rational Approximations}, journal = {{IEEE} Trans. Computers}, volume = {39}, number = {8}, pages = {1030--1037}, year = {1990}, url = {https://doi.org/10.1109/12.57042}, doi = {10.1109/12.57042}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenZ90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WimerKC89, author = {Shmuel Wimer and Israel Koren and Israel Cederbaum}, title = {Optimal aspect ratios of building blocks in {VLSI}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {2}, pages = {139--145}, year = {1989}, url = {https://doi.org/10.1109/43.21832}, doi = {10.1109/43.21832}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WimerKC89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/KorenMPS88, author = {Israel Koren and Bilha Mendelson and Irit Peled and Gabriel M. Silberman}, title = {A Data-Driven {VLSI} Array for Arbitrary Algorithms}, journal = {Computer}, volume = {21}, number = {10}, pages = {30--43}, year = {1988}, url = {https://doi.org/10.1109/2.7055}, doi = {10.1109/2.7055}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/KorenMPS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mst/ErdosKMSZ88, author = {Paul Erd{\"{o}}s and Israel Koren and Shlomo Moran and Gabriel M. Silberman and Shmuel Zaks}, title = {Minimum-Diameter Cyclic Arrangements in Mapping Data-Flow Graphs onto {VLSI} Arrays}, journal = {Math. Syst. Theory}, volume = {21}, number = {2}, pages = {85--98}, year = {1988}, url = {https://doi.org/10.1007/BF02088008}, doi = {10.1007/BF02088008}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mst/ErdosKMSZ88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WimerK88, author = {Shmuel Wimer and Israel Koren}, title = {Analysis of strategies for constructive general block placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {7}, number = {3}, pages = {371--377}, year = {1988}, url = {https://doi.org/10.1109/43.3170}, doi = {10.1109/43.3170}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WimerK88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WimerKC88, author = {Shmuel Wimer and Israel Koren and Israel Cederbaum}, editor = {Dennis W. Shaklee and A. Richard Newton}, title = {Optimal Aspect Ratios of Building Blocks in {VLSI}}, booktitle = {Proceedings of the 25th {ACM/IEEE} Conference on Design Automation, {DAC} '88, Anaheim, CA, USA, June 12-15, 1988}, pages = {66--72}, publisher = {{ACM}}, year = {1988}, url = {http://portal.acm.org/citation.cfm?id=285730.285740}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/dac/WimerKC88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/KorenK88, author = {Israel Koren and Zahava Koren}, title = {On the Bandwidth of a Multi-Stage Network in the Presence of Faulty Components}, booktitle = {Proceedings of the 8th International Conference on Distributed Computing Systems, San Jose, California, USA, June 13-17, 1988}, pages = {26--32}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/DCS.1988.12496}, doi = {10.1109/DCS.1988.12496}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/KorenK88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/KorenP87, author = {Israel Koren and Irit Peled}, title = {The Concept and Implementation of Data-Driven Processor Arrays}, journal = {Computer}, volume = {20}, number = {7}, pages = {102--103}, year = {1987}, url = {https://doi.org/10.1109/MC.1987.1663631}, doi = {10.1109/MC.1987.1663631}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/KorenP87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenP87, author = {Israel Koren and Dhiraj K. Pradhan}, title = {Modeling the Effect of Redundancy on Yield and Performance of {VLSI} Systems}, journal = {{IEEE} Trans. Computers}, volume = {36}, number = {3}, pages = {344--355}, year = {1987}, url = {https://doi.org/10.1109/TC.1987.1676906}, doi = {10.1109/TC.1987.1676906}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenP87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GranskiKS87, author = {Michael Granski and Israel Koren and Gabriel M. Silberman}, title = {The Effect of Operation Scheduling on the Performance of a Data Flow Computer}, journal = {{IEEE} Trans. Computers}, volume = {36}, number = {9}, pages = {1019--1029}, year = {1987}, url = {https://doi.org/10.1109/TC.1987.5009533}, doi = {10.1109/TC.1987.5009533}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GranskiKS87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BergK87, author = {Menachem Berg and Israel Koren}, title = {On Switching Policies for Modular Redundancy Fault-Tolerant Computing Systems}, journal = {{IEEE} Trans. Computers}, volume = {36}, number = {9}, pages = {1052--1062}, year = {1987}, url = {https://doi.org/10.1109/TC.1987.5009536}, doi = {10.1109/TC.1987.5009536}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BergK87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KorenP86, author = {Israel Koren and Dhiraj K. Pradhan}, title = {Yield and performance enhancement through redundancy in {VLSI} and {WSI} multiprocessor systems}, journal = {Proc. {IEEE}}, volume = {74}, number = {5}, pages = {699--711}, year = {1986}, url = {https://doi.org/10.1109/PROC.1986.13532}, doi = {10.1109/PROC.1986.13532}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/KorenP86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Koren86, author = {Israel Koren}, title = {Comments on "The Diogenes Approach to Testable Fault-Tolerant Arrays of Processors"}, journal = {{IEEE} Trans. Computers}, volume = {35}, number = {1}, pages = {93--94}, year = {1986}, url = {https://doi.org/10.1109/TC.1986.1676668}, doi = {10.1109/TC.1986.1676668}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Koren86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenKS86, author = {Israel Koren and Zahava Koren and Stephen Y. H. Su}, title = {Analysis of a Class of Recovery Procedures}, journal = {{IEEE} Trans. Computers}, volume = {35}, number = {8}, pages = {703--712}, year = {1986}, url = {https://doi.org/10.1109/TC.1986.1676821}, doi = {10.1109/TC.1986.1676821}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenKS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/MizrahiK85, author = {Haim E. Mizrahi and Israel Koren}, title = {Evaluating the Cost-Effectiveness of Switches in Processor Array Architectures}, booktitle = {International Conference on Parallel Processing, ICPP'85, University Park, PA, USA, August 1985}, pages = {480--487}, publisher = {{IEEE} Computer Society Press}, year = {1985}, timestamp = {Mon, 28 Jul 2014 17:06:01 +0200}, biburl = {https://dblp.org/rec/conf/icpp/MizrahiK85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenB84, author = {Israel Koren and Melvin A. Breuer}, title = {On Area and Yield Considerations for Fault-Tolerant {VLSI} Processor Arrays}, journal = {{IEEE} Trans. Computers}, volume = {33}, number = {1}, pages = {21--27}, year = {1984}, url = {https://doi.org/10.1109/TC.1984.5009312}, doi = {10.1109/TC.1984.5009312}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenB84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GordonKS84, author = {Dan Gordon and Israel Koren and Gabriel M. Silberman}, title = {Embedding Tree Stuctures in VLlSI Hexagonal Arrays}, journal = {{IEEE} Trans. Computers}, volume = {33}, number = {1}, pages = {104--107}, year = {1984}, url = {https://doi.org/10.1109/TC.1984.5009319}, doi = {10.1109/TC.1984.5009319}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GordonKS84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KorenS83, author = {Israel Koren and Gabriel M. Silberman}, title = {A Direct Mapping of Algorithms onto {VLSI} Processing Arrays Based on the Data Flow Approach}, booktitle = {International Conference on Parallel Processing, ICPP'83, Columbus, Ohio, USA, August 1983}, pages = {335--337}, publisher = {{IEEE} Computer Society}, year = {1983}, timestamp = {Wed, 04 Dec 2002 14:34:54 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KorenS83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenM81, author = {Israel Koren and Yoram Maliniak}, title = {On Classes of Positive, Negative, and Imaginary Radix Number Systems}, journal = {{IEEE} Trans. Computers}, volume = {30}, number = {5}, pages = {312--317}, year = {1981}, url = {https://doi.org/10.1109/TC.1981.1675788}, doi = {10.1109/TC.1981.1675788}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenM81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/Koren81, author = {Israel Koren}, editor = {Richard Y. Kain and William R. Franta}, title = {A Reconfigurable and Fault-Tolerant {VLSI} Multiprocessor Array}, booktitle = {Proceedings of the 8th Annual Symposium on Computer Architecture, Minneapolis, MN, USA, May 1981}, pages = {425--442}, publisher = {{IEEE} Computer Society}, year = {1981}, url = {http://dl.acm.org/citation.cfm?id=801894}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/Koren81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenS80, author = {Israel Koren and Eitan Sadeh}, title = {A New Approach to the Evaluation of the Reliability of Digital Systems}, journal = {{IEEE} Trans. Computers}, volume = {29}, number = {3}, pages = {261--267}, year = {1980}, url = {https://doi.org/10.1109/TC.1980.1675559}, doi = {10.1109/TC.1980.1675559}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenS80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Koren79, author = {Israel Koren}, title = {Analysis of the Signal Reliability Measure and an Evaluation Procedure}, journal = {{IEEE} Trans. Computers}, volume = {28}, number = {3}, pages = {244--249}, year = {1979}, url = {https://doi.org/10.1109/TC.1979.1675326}, doi = {10.1109/TC.1979.1675326}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Koren79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenK79, author = {Israel Koren and Zvi Kohavi}, title = {On the Properties of Sensitized Paths}, journal = {{IEEE} Trans. Computers}, volume = {28}, number = {3}, pages = {268--269}, year = {1979}, url = {https://doi.org/10.1109/TC.1979.1675335}, doi = {10.1109/TC.1979.1675335}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenK79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenS79, author = {Israel Koren and Stephen Y. H. Su}, title = {Reliability Analysis of \emph{N}-Modular Redundancy Systems with Intermittent and Permanent Faults}, journal = {{IEEE} Trans. Computers}, volume = {28}, number = {7}, pages = {514--520}, year = {1979}, url = {https://doi.org/10.1109/TC.1979.1675397}, doi = {10.1109/TC.1979.1675397}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenS79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SuKM78, author = {Stephen Y. H. Su and Israel Koren and Yashwant K. Malaiya}, title = {A Continous-Parameter Markov Model and Detection Procedures for Intermittent Faults}, journal = {{IEEE} Trans. Computers}, volume = {27}, number = {6}, pages = {567--570}, year = {1978}, url = {https://doi.org/10.1109/TC.1978.1675148}, doi = {10.1109/TC.1978.1675148}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SuKM78.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/KorenM78, author = {Israel Koren and Yoram Maliniak}, title = {A unified approach to a class of number systems}, booktitle = {4th {IEEE} Symposium on Computer Arithmetic, {ARITH} 1978, Santa Monica, CA, USA, October 25-27, 1978}, pages = {25--28}, publisher = {{IEEE} Computer Society}, year = {1978}, url = {https://doi.org/10.1109/ARITH.1978.6155783}, doi = {10.1109/ARITH.1978.6155783}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/arith/KorenM78.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenK77, author = {Israel Koren and Zvi Kohavi}, title = {Sequential Fault Diagnosis in Combinational Networks}, journal = {{IEEE} Trans. Computers}, volume = {26}, number = {4}, pages = {334--342}, year = {1977}, url = {https://doi.org/10.1109/TC.1977.1674843}, doi = {10.1109/TC.1977.1674843}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenK77.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KorenK77a, author = {Israel Koren and Zvi Kohavi}, title = {Diagnosis of Intermittent Faults in Combinational Networks}, journal = {{IEEE} Trans. Computers}, volume = {26}, number = {11}, pages = {1154--1158}, year = {1977}, url = {https://doi.org/10.1109/TC.1977.1674766}, doi = {10.1109/TC.1977.1674766}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KorenK77a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.