Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Fadi J. Kurdahi
@article{DBLP:journals/health/ZargariAKRK23, author = {Amir Hosein Afandizadeh Zargari and Seyed Amir Hossein Aqajari and Hadi Khodabandeh and Amir M. Rahmani and Fadi J. Kurdahi}, title = {An Accurate Non-accelerometer-based {PPG} Motion Artifact Removal Technique using CycleGAN}, journal = {{ACM} Trans. Comput. Heal.}, volume = {4}, number = {1}, pages = {1:1--1:14}, year = {2023}, url = {https://doi.org/10.1145/3563949}, doi = {10.1145/3563949}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/health/ZargariAKRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/ZargariASDFK23, author = {Amir Hosein Afandizadeh Zargari and Marzieh Ashrafiamiri and Minjun Seo and Sai Manoj Pudukotai Dinakarrao and Mohammed E. Fouda and Fadi J. Kurdahi}, title = {{CAPTIVE:} Constrained Adversarial Perturbations to Thwart {IC} Reverse Engineering}, journal = {Inf.}, volume = {14}, number = {12}, pages = {656}, year = {2023}, url = {https://doi.org/10.3390/info14120656}, doi = {10.3390/INFO14120656}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/ZargariASDFK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/SmagulovaFKSE23, author = {Kamilya Smagulova and Mohammed E. Fouda and Fadi J. Kurdahi and Khaled N. Salama and Ahmed M. Eltawil}, title = {Resistive Neural Hardware Accelerators}, journal = {Proc. {IEEE}}, volume = {111}, number = {5}, pages = {500--527}, year = {2023}, url = {https://doi.org/10.1109/JPROC.2023.3268092}, doi = {10.1109/JPROC.2023.3268092}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/SmagulovaFKSE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeeFLEK23, author = {Sugil Lee and Mohammed E. Fouda and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Offline Training-Based Mitigation of {IR} Drop for ReRAM-Based Deep Neural Network Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {2}, pages = {521--532}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3177002}, doi = {10.1109/TCAD.2022.3177002}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LeeFLEK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/QuanFLJLEK23, author = {Chenghao Quan and Mohammed E. Fouda and Sugil Lee and Giju Jung and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Training-Free Stuck-At Fault Mitigation for ReRAM-Based Deep Learning Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {7}, pages = {2174--2186}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3222288}, doi = {10.1109/TCAD.2022.3222288}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/QuanFLJLEK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/TianFSK23, author = {Hongzheng Tian and Mohammed E. Fouda and Minjun Seo and Fadi J. Kurdahi}, title = {{FPGA} Implementation of Associative Processors}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {5}, pages = {1774--1778}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3261702}, doi = {10.1109/TCSII.2023.3261702}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/TianFSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/RakkaFKK23, author = {Mariam Rakka and Mohammed E. Fouda and Rouwaida Kanj and Fadi J. Kurdahi}, title = {{DT2CAM:} {A} Decision Tree to Content Addressable Memory Framework}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {11}, number = {3}, pages = {805--810}, year = {2023}, url = {https://doi.org/10.1109/TETC.2023.3261748}, doi = {10.1109/TETC.2023.3261748}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/RakkaFKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SperlingBSEDMLSHAMCHKMNRSYSDK23, author = {Nora Sperling and Alex Bendrick and Dominik St{\"{o}}hrmann and Rolf Ernst and Bryan Donyanavard and Florian Maurer and Oliver Lenke and Anmol Surhonne and Andreas Herkersdorf and Walaa Amer and Caio Batista de Melo and Ping{-}Xiang Chen and Quang Anh Hoang and Rachid Karami and Biswadip Maity and Paul Nikolian and Mariam Rakka and Dongjoo Seo and Saehanseul Yi and Minjun Seo and Nikil D. Dutt and Fadi J. Kurdahi}, title = {Information Processing Factory 2.0 - Self-awareness for Autonomous Collaborative Systems}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137006}, doi = {10.23919/DATE56975.2023.10137006}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SperlingBSEDMLSHAMCHKMNRSYSDK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/AmerRKSSKK23, author = {Walaa Amer and Mariam Rakka and Rachid Karami and Minjun Seo and Mazen A. R. Saghir and Rouwaida Kanj and Fadi J. Kurdahi}, title = {Hardware Implementation and Evaluation of an Information Processing Factory}, booktitle = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321939}, doi = {10.1109/VLSI-SOC57769.2023.10321939}, timestamp = {Wed, 06 Dec 2023 13:14:06 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/AmerRKSSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11462, author = {Mohamad Fakih and Rouwaida Kanj and Fadi J. Kurdahi and Mohammed E. Fouda}, title = {AudioFool: Fast, Universal and synchronization-free Cross-Domain Attack on Speech Recognition}, journal = {CoRR}, volume = {abs/2309.11462}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11462}, doi = {10.48550/ARXIV.2309.11462}, eprinttype = {arXiv}, eprint = {2309.11462}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11462.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ChenFK22, author = {Vanessa Chen and Mohammad Al Faruque and Fadi J. Kurdahi}, title = {Guest Editorial: Secure Radio-Frequency (RF)-Analog Electronics and Electromagnetics}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {18}, number = {4}, pages = {63:1--63:2}, year = {2022}, url = {https://doi.org/10.1145/3564261}, doi = {10.1145/3564261}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jetc/ChenFK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/FoudaYEK22, author = {Mohammed E. Fouda and Hasan Erdem Yantir and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {In-Memory Associative Processors: Tutorial, Potential, and Challenges}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {6}, pages = {2641--2647}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3170468}, doi = {10.1109/TCSII.2022.3170468}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/FoudaYEK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/RamboDSMKMMSHKD22, author = {Eberle A. Rambo and Bryan Donyanavard and Minjun Seo and Florian Maurer and Thawra Kadeed and Caio Batista de Melo and Biswadip Maity and Anmol Surhonne and Andreas Herkersdorf and Fadi J. Kurdahi and Nikil D. Dutt and Rolf Ernst}, title = {The Self-Aware Information Processing Factory Paradigm for Mixed-Critical Multiprocessing}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {10}, number = {1}, pages = {250--266}, year = {2022}, url = {https://doi.org/10.1109/TETC.2020.3011663}, doi = {10.1109/TETC.2020.3011663}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/RamboDSMKMMSHKD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/YuYWZMLJK22, author = {Ruiyun Yu and Dezhi Ye and Zhihong Wang and Biyun Zhang and Ann Move Oguti and Jie Li and Bo Jin and Fadi J. Kurdahi}, title = {{CFFNN:} Cross Feature Fusion Neural Network for Collaborative Filtering}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {34}, number = {10}, pages = {4650--4662}, year = {2022}, url = {https://doi.org/10.1109/TKDE.2020.3048788}, doi = {10.1109/TKDE.2020.3048788}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/YuYWZMLJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeFLEK22, author = {Sugil Lee and Mohammed E. Fouda and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Accurate Prediction of ReRAM Crossbar Performance Under {I-V} Nonlinearity and {IR} Drop}, booktitle = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022, Olympic Valley, CA, USA, October 23-26, 2022}, pages = {9--16}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCD56317.2022.00013}, doi = {10.1109/ICCD56317.2022.00013}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeFLEK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-00662, author = {Mohammed E. Fouda and Hasan Erdem Yantir and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {In-memory Associative Processors: Tutorial, Potential, and Challenges}, journal = {CoRR}, volume = {abs/2203.00662}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.00662}, doi = {10.48550/ARXIV.2203.00662}, eprinttype = {arXiv}, eprint = {2203.00662}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-00662.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-06114, author = {Mariam Rakka and Mohammed E. Fouda and Rouwaida Kanj and Fadi J. Kurdahi}, title = {{DT2CAM:} {A} Decision Tree to Content Addressable Memory Framework}, journal = {CoRR}, volume = {abs/2204.06114}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.06114}, doi = {10.48550/ARXIV.2204.06114}, eprinttype = {arXiv}, eprint = {2204.06114}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-06114.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-06064, author = {Mariam Rakka and Mohammed E. Fouda and Pramod Khargonekar and Fadi J. Kurdahi}, title = {Mixed-Precision Neural Networks: {A} Survey}, journal = {CoRR}, volume = {abs/2208.06064}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.06064}, doi = {10.48550/ARXIV.2208.06064}, eprinttype = {arXiv}, eprint = {2208.06064}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-06064.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/ZhangSDDK21, author = {Tianyi Zhang and Minjun Seo and Bryan Donyanavard and Nikil D. Dutt and Fadi J. Kurdahi}, title = {Predicting Failures in Embedded Systems Using Long Short-Term Inference}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {13}, number = {3}, pages = {85--89}, year = {2021}, url = {https://doi.org/10.1109/LES.2020.3007361}, doi = {10.1109/LES.2020.3007361}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/ZhangSDDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/RakkaFKEK21, author = {Mariam Rakka and Mohamed E. Fouda and Rouwaida Kanj and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Design Exploration of Sensing Techniques in 2T-2R Resistive Ternary CAMs}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {2}, pages = {762--766}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2020.3017477}, doi = {10.1109/TCSII.2020.3017477}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/RakkaFKEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JungFLLEK21, author = {Giju Jung and Mohammed E. Fouda and Sugil Lee and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Cost- and Dataset-free Stuck-at Fault Mitigation for ReRAM-based Deep Learning Accelerators}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1733--1738}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474226}, doi = {10.23919/DATE51398.2021.9474226}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JungFLLEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeFLEK21, author = {Sugil Lee and Mohammed E. Fouda and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Fast and Low-Cost Mitigation of ReRAM Variability for Deep Learning Applications}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {269--276}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00051}, doi = {10.1109/ICCD53106.2021.00051}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/LeeFLEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-11512, author = {Amir Hosein Afandizadeh Zargari and Seyed Amir Hossein Aqajari and Hadi Khodabandeh and Amir M. Rahmani and Fadi J. Kurdahi}, title = {An Accurate Non-accelerometer-based {PPG} Motion Artifact Removal Technique using CycleGAN}, journal = {CoRR}, volume = {abs/2106.11512}, year = {2021}, url = {https://arxiv.org/abs/2106.11512}, eprinttype = {arXiv}, eprint = {2106.11512}, timestamp = {Wed, 30 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-11512.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-03934, author = {Kamilya Smagulova and Mohammed E. Fouda and Fadi J. Kurdahi and Khaled N. Salama and Ahmed M. Eltawil}, title = {Resistive Neural Hardware Accelerators}, journal = {CoRR}, volume = {abs/2109.03934}, year = {2021}, url = {https://arxiv.org/abs/2109.03934}, eprinttype = {arXiv}, eprint = {2109.03934}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-03934.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-05136, author = {Ali Shaib and Mohamad H. Naim and Mohammed E. Fouda and Rouwaida Kanj and Fadi J. Kurdahi}, title = {Efficient Noise Mitigation Technique for Quantum Computing}, journal = {CoRR}, volume = {abs/2109.05136}, year = {2021}, url = {https://arxiv.org/abs/2109.05136}, eprinttype = {arXiv}, eprint = {2109.05136}, timestamp = {Wed, 22 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-05136.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-11459, author = {Amir Hosein Afandizadeh Zargari and Marzieh Ashrafiamiri and Minjun Seo and Sai Manoj Pudukotai Dinakarrao and Mohammed E. Fouda and Fadi J. Kurdahi}, title = {{CAPTIVE:} Constrained Adversarial Perturbations to Thwart {IC} Reverse Engineering}, journal = {CoRR}, volume = {abs/2110.11459}, year = {2021}, url = {https://arxiv.org/abs/2110.11459}, eprinttype = {arXiv}, eprint = {2110.11459}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-11459.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/FoudaLLKKE20, author = {Mohammed E. Fouda and Sugil Lee and Jongeun Lee and Gun Hwan Kim and Fadi J. Kurdahi and Ahmed M. Eltawil}, title = {{IR-QNN} Framework: An {IR} Drop-Aware Offline Training of Quantized Crossbar Arrays}, journal = {{IEEE} Access}, volume = {8}, pages = {228392--228408}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3044652}, doi = {10.1109/ACCESS.2020.3044652}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/FoudaLLKKE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NeggazANK20, author = {Mohamed A. Neggaz and Ihsen Alouani and Sma{\"{\i}}l Niar and Fadi J. Kurdahi}, title = {Are CNNs Reliable Enough for Critical Applications? An Exploratory Study}, journal = {{IEEE} Des. Test}, volume = {37}, number = {2}, pages = {76--83}, year = {2020}, url = {https://doi.org/10.1109/MDAT.2019.2952336}, doi = {10.1109/MDAT.2019.2952336}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/NeggazANK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/PayvandFKEN20, author = {Melika Payvand and Mohammed E. Fouda and Fadi J. Kurdahi and Ahmed M. Eltawil and Emre O. Neftci}, title = {On-Chip Error-Triggered Learning of Multi-Layer Memristive Spiking Neural Networks}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {10}, number = {4}, pages = {522--535}, year = {2020}, url = {https://doi.org/10.1109/JETCAS.2020.3040248}, doi = {10.1109/JETCAS.2020.3040248}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/PayvandFKEN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KhorshidAKJE20, author = {Ahmed E. Khorshid and Ibrahim N. Alquaydheb and Fadi J. Kurdahi and Roger Piqueras Jover and Ahmed M. Eltawil}, title = {Biometric Identity Based on Intra-Body Communication Channel Characteristics and Machine Learning}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1421}, year = {2020}, url = {https://doi.org/10.3390/s20051421}, doi = {10.3390/S20051421}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KhorshidAKJE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZargariDASTK20, author = {Amir Hosein Afandizadeh Zargari and Manik Dautta and Marzieh Ashrafiamiri and Minjun Seo and Peter Tseng and Fadi J. Kurdahi}, title = {{NEWERTRACK:} ML-Based Accurate Tracking of In-Mouth Nutrient Sensors Position Using Spectrum-Wide Information}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {11}, pages = {3833--3841}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.3013074}, doi = {10.1109/TCAD.2020.3013074}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ZargariDASTK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/PayvandFKEN20, author = {Melika Payvand and Mohammed E. Fouda and Fadi J. Kurdahi and Ahmed M. Eltawil and Emre O. Neftci}, title = {Error-triggered Three-Factor Learning Dynamics for Crossbar Arrays}, booktitle = {2nd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2020, Genova, Italy, August 31 - September 2, 2020}, pages = {218--222}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/AICAS48895.2020.9073998}, doi = {10.1109/AICAS48895.2020.9073998}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/PayvandFKEN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeJFLEK20, author = {Sugil Lee and Giju Jung and Mohammed E. Fouda and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Learning to Predict {IR} Drop with Effective Training for ReRAM-based Neural Network Hardware}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218735}, doi = {10.1109/DAC18072.2020.9218735}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeJFLEK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Kurdahi20, author = {Fadi J. Kurdahi}, editor = {Tinoosh Mohsenin and Weisheng Zhao and Yiran Chen and Onur Mutlu}, title = {Towards Self-Aware Systems-on-Chip Through Intelligent Cross-Layer Coordination}, booktitle = {{GLSVLSI} '20: Great Lakes Symposium on {VLSI} 2020, Virtual Event, China, September 7-9, 2020}, pages = {137}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3386263.3409105}, doi = {10.1145/3386263.3409105}, timestamp = {Mon, 04 Jul 2022 14:19:34 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/Kurdahi20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlcad/AshrafiamiriDZS20, author = {Marzieh Ashrafiamiri and Sai Manoj Pudukotai Dinakarrao and Amir Hosein Afandizadeh Zargari and Minjun Seo and Fadi J. Kurdahi and Houman Homayoun}, editor = {Ulf Schlichtmann and Raviv Gal and Hussam Amrouch and Hai (Helen) Li}, title = {{R2AD:} Randomization and Reconstructor-based Adversarial Defense on Deep Neural Network}, booktitle = {{MLCAD} '20: 2020 {ACM/IEEE} Workshop on Machine Learning for CAD, Virtual Event, Iceland, November 16-20, 2020}, pages = {21--26}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3380446.3430628}, doi = {10.1145/3380446.3430628}, timestamp = {Mon, 03 May 2021 16:42:27 +0200}, biburl = {https://dblp.org/rec/conf/mlcad/AshrafiamiriDZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-10852, author = {Melika Payvand and Mohammed E. Fouda and Fadi J. Kurdahi and Ahmed M. Eltawil and Emre O. Neftci}, title = {On-Chip Error-triggered Learning of Multi-layer Memristive Spiking Neural Networks}, journal = {CoRR}, volume = {abs/2011.10852}, year = {2020}, url = {https://arxiv.org/abs/2011.10852}, eprinttype = {arXiv}, eprint = {2011.10852}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-10852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KhorshidAEK19, author = {Ahmed E. Khorshid and Ibrahim N. Alquaydheb and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {{IBCFAP:} Intra-Body Communications Five-Layers Arm Phantom Model}, journal = {{IEEE} Access}, volume = {7}, pages = {93701--93710}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2925865}, doi = {10.1109/ACCESS.2019.2925865}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KhorshidAEK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/AleniziKEA19, author = {Farhan A. Alenizi and Fadi J. Kurdahi and Ahmed M. Eltawil and Awad Kh. Al{-}Asmari}, title = {Hybrid pyramid-DWT-SVD dual data hiding technique for videos ownership protection}, journal = {Multim. Tools Appl.}, volume = {78}, number = {11}, pages = {14511--14547}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6723-9}, doi = {10.1007/S11042-018-6723-9}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/AleniziKEA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/AbdelaalYEK19, author = {Rana A. Abdelaal and Hasan Erdem Yantir and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Power Performance Tradeoffs Using Adaptive Bit Width Adjustments on Resistive Associative Processors}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {1}, pages = {302--312}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2018.2850885}, doi = {10.1109/TCSI.2018.2850885}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/AbdelaalYEK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/SeoK19, author = {Minjun Seo and Fadi J. Kurdahi}, title = {Efficient Tracing Methodology Using Automata Processor}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {80:1--80:18}, year = {2019}, url = {https://doi.org/10.1145/3358200}, doi = {10.1145/3358200}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/SeoK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/FoudaNEK19, author = {Mohammed E. Fouda and Emre Neftci and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Michael B. Matthews}, title = {Effect of Asymmetric Nonlinearity Dynamics in RRAMs on Spiking Neural Network Performance}, booktitle = {53rd Asilomar Conference on Signals, Systems, and Computers, {ACSCC} 2019, Pacific Grove, CA, USA, November 3-6, 2019}, pages = {495--499}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IEEECONF44664.2019.9049043}, doi = {10.1109/IEEECONF44664.2019.9049043}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/FoudaNEK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/KhorshidAEK19, author = {Ahmed E. Khorshid and Ibrahim N. Alquaydheb and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Lorenzo Mucchi and Matti H{\"{a}}m{\"{a}}l{\"{a}}inen and Sara Jayousi and Simone Morosi}, title = {Sensitivity of Galvanic Intra-Body Communication Channel to System Parameters}, booktitle = {Body Area Networks. Smart IoT and Big Data for Intelligent Health Management - 14th {EAI} International Conference, {BODYNETS} 2019, Florence, Italy, October 2-3, 2019, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {297}, pages = {150--160}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-34833-5\_13}, doi = {10.1007/978-3-030-34833-5\_13}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bodynets/KhorshidAEK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/RamboKESKDMMMSY19, author = {Eberle A. Rambo and Thawra Kadeed and Rolf Ernst and Minjun Seo and Fadi J. Kurdahi and Bryan Donyanavard and Caio Batista de Melo and Biswadip Maity and Kasra Moazzemi and Kenneth Michael Stewart and Saehanseul Yi and Amir M. Rahmani and Nikil D. Dutt and Florian Maurer and Nguyen Anh Vu Doan and Anmol Surhonne and Thomas Wild and Andreas Herkersdorf}, title = {The information processing factory: a paradigm for life cycle management of dependable systems}, booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS} 2019, part of {ESWEEK} 2019, New York, NY, USA, October 13-18, 2019}, pages = {20:1--20:2}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3349567.3357391}, doi = {10.1145/3349567.3357391}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/RamboKESKDMMMSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ZorguiFWEK19, author = {Marwen Zorgui and Mohammed E. Fouda and Zhiying Wang and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Non-Stationary Polar Codes for Resistive Memories}, booktitle = {2019 {IEEE} Global Communications Conference, {GLOBECOM} 2019, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GLOBECOM38437.2019.9014026}, doi = {10.1109/GLOBECOM38437.2019.9014026}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ZorguiFWEK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/SwaidanKHSK19, author = {Zainab Swaidan and Rouwaida Kanj and Johnny El Hajj and Edward Saad and Fadi J. Kurdahi}, title = {{RRAM} Endurance and Retention: Challenges, Opportunities and Implications on Reliable Design}, booktitle = {26th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2019, Genoa, Italy, November 27-29, 2019}, pages = {402--405}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICECS46596.2019.8964707}, doi = {10.1109/ICECS46596.2019.8964707}, timestamp = {Mon, 03 Feb 2020 12:19:05 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/SwaidanKHSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HovhannisyanEK19, author = {Davit Hovhannisyan and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Testing Topology Adaptive Irrigation IoT with Circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702559}, doi = {10.1109/ISCAS.2019.8702559}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HovhannisyanEK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HovhannisyanKFE19, author = {Davit Hovhannisyan and Kareem Khalifeh and Peng Fei and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Feasibility Study of Plant Health Monitoring}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702569}, doi = {10.1109/ISCAS.2019.8702569}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HovhannisyanKFE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/FoudaEK19, author = {Mohammed E. Fouda and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Activated Current Sensing Circuit for Resistive Neuromorphic Networks}, booktitle = {17th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2019, Munich, Germany, June 23-26, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NEWCAS44328.2019.8961245}, doi = {10.1109/NEWCAS44328.2019.8961245}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/newcas/FoudaEK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-01512, author = {Mohammed E. Fouda and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {On Resistive Memories: One Step Row Readout Technique and Sensing Circuitry}, journal = {CoRR}, volume = {abs/1903.01512}, year = {2019}, url = {http://arxiv.org/abs/1903.01512}, eprinttype = {arXiv}, eprint = {1903.01512}, timestamp = {Sat, 30 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-01512.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-08966, author = {Marwen Zorgui and Mohammed E. Fouda and Zhiying Wang and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Non-Stationary Polar Codes for Resistive Memories}, journal = {CoRR}, volume = {abs/1904.08966}, year = {2019}, url = {http://arxiv.org/abs/1904.08966}, eprinttype = {arXiv}, eprint = {1904.08966}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-08966.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-01578, author = {Eberle A. Rambo and Bryan Donyanavard and Minjun Seo and Florian Maurer and Thawra Kadeed and Caio Batista de Melo and Biswadip Maity and Anmol Surhonne and Andreas Herkersdorf and Fadi J. Kurdahi and Nikil D. Dutt and Rolf Ernst}, title = {The Information Processing Factory: Organization, Terminology, and Definitions}, journal = {CoRR}, volume = {abs/1907.01578}, year = {2019}, url = {http://arxiv.org/abs/1907.01578}, eprinttype = {arXiv}, eprint = {1907.01578}, timestamp = {Tue, 09 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-01578.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-01771, author = {Mohamed E. Fouda and Fadi J. Kurdahi and Ahmed M. Eltawil and Emre Neftci}, title = {Spiking Neural Networks for Inference and Learning: {A} Memristor-based Design Perspective}, journal = {CoRR}, volume = {abs/1909.01771}, year = {2019}, url = {http://arxiv.org/abs/1909.01771}, eprinttype = {arXiv}, eprint = {1909.01771}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-01771.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-06152, author = {Melika Payvand and Mohammed E. Fouda and Fadi J. Kurdahi and Ahmed M. Eltawil and Emre O. Neftci}, title = {Error-triggered Three-Factor Learning Dynamics for Crossbar Arrays}, journal = {CoRR}, volume = {abs/1910.06152}, year = {2019}, url = {http://arxiv.org/abs/1910.06152}, eprinttype = {arXiv}, eprint = {1910.06152}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-06152.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KhorshidAEK18, author = {Ahmed E. Khorshid and Ibrahim N. Alquaydheb and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Physical Multi-Layer Phantoms for Intra-Body Communications}, journal = {{IEEE} Access}, volume = {6}, pages = {42812--42821}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2849709}, doi = {10.1109/ACCESS.2018.2849709}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KhorshidAEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/YantirEK18, author = {Hasan Erdem Yantir and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {A Hybrid Approximate Computing Approach for Associative In-Memory Processors}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {8}, number = {4}, pages = {758--769}, year = {2018}, url = {https://doi.org/10.1109/JETCAS.2018.2852701}, doi = {10.1109/JETCAS.2018.2852701}, timestamp = {Fri, 18 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/YantirEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/YantirENK18, author = {Hasan Erdem Yantir and Ahmed M. Eltawil and Sma{\"{\i}}l Niar and Fadi J. Kurdahi}, title = {Power optimization techniques for associative processors}, journal = {J. Syst. Archit.}, volume = {90}, pages = {44--53}, year = {2018}, url = {https://doi.org/10.1016/j.sysarc.2018.08.006}, doi = {10.1016/J.SYSARC.2018.08.006}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/YantirENK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/MostlSEDNRKWSH18, author = {Mischa M{\"{o}}stl and Johannes Schlatow and Rolf Ernst and Nikil D. Dutt and Ahmed Nassar and Amir{-}Mohammad Rahmani and Fadi J. Kurdahi and Thomas Wild and Armin Sadighi and Andreas Herkersdorf}, title = {Platform-Centric Self-Awareness as a Key Enabler for Controlling Changes in {CPS}}, journal = {Proc. {IEEE}}, volume = {106}, number = {9}, pages = {1543--1567}, year = {2018}, url = {https://doi.org/10.1109/JPROC.2018.2858023}, doi = {10.1109/JPROC.2018.2858023}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/MostlSEDNRKWSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/FoudaEK18, author = {Mohammed E. Fouda and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Modeling and Analysis of Passive Switching Crossbar Arrays}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {65-I}, number = {1}, pages = {270--282}, year = {2018}, url = {https://doi.org/10.1109/TCSI.2017.2714101}, doi = {10.1109/TCSI.2017.2714101}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/FoudaEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/ShrivastavaK18, author = {Aviral Shrivastava and Fadi J. Kurdahi}, title = {Guest Editorial: Special Issue on Accelerated Computing}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {1}, pages = {1--2}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2018.2807058}, doi = {10.1109/TMSCS.2018.2807058}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmscs/ShrivastavaK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YantirEK18, author = {Hasan Erdem Yantir and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {A Two-Dimensional Associative Processor}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {9}, pages = {1659--1670}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2827262}, doi = {10.1109/TVLSI.2018.2827262}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YantirEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/FoudaKAEK18, author = {Mohammed E. Fouda and Ahmed E. Khorshid and Ibrahim N. Alquaydheb and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Extracting the Cole-Cole Model Parameters of Tissue-mimicking Materials}, booktitle = {2018 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2018, Cleveland, OH, USA, October 17-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOCAS.2018.8584724}, doi = {10.1109/BIOCAS.2018.8584724}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biocas/FoudaKAEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NeggazYNEK18, author = {Mohamed Ayoub Neggaz and Hasan Erdem Yantir and Sma{\"{\i}}l Niar and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Rapid in-memory matrix multiplication using associative processor}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {985--990}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342152}, doi = {10.23919/DATE.2018.8342152}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NeggazYNEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SadighiDKMMNRWD18, author = {Armin Sadighi and Bryan Donyanavard and Thawra Kadeed and Kasra Moazzemi and Tiago M{\"{u}}ck and Ahmed Nassar and Amir M. Rahmani and Thomas Wild and Nikil D. Dutt and Rolf Ernst and Andreas Herkersdorf and Fadi J. Kurdahi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Design methodologies for enabling self-awareness in autonomous systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1532--1537}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342259}, doi = {10.23919/DATE.2018.8342259}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SadighiDKMMNRWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/HovhannisyanEFK18, author = {Davit Hovhannisyan and Ahmed M. Eltawil and Mohammad Abdullah Al Faruque and Fadi J. Kurdahi}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Circuit Inspired Modeling Method for Irrigation}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {328--335}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00064}, doi = {10.1109/DSD.2018.00064}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/HovhannisyanEFK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/YantirEK18, author = {Hasan Erdem Yantir and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Low-Power Resistive Associative Processor Implementation Through the Multi-Compare}, booktitle = {25th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2018, Bordeaux, France, December 9-12, 2018}, pages = {165--168}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICECS.2018.8617925}, doi = {10.1109/ICECS.2018.8617925}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/YantirEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icm2/NeggazNK18, author = {Mohamed Ayoub Neggaz and Sma{\"{\i}}l Niar and Fadi J. Kurdahi}, title = {Computational and Communication Reduction Technique in Machine Learning Based Near Sensor Applications}, booktitle = {30th International Conference on Microelectronics, {ICM} 2018, Sousse, Tunisia, December 16-19, 2018}, pages = {68--71}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICM.2018.8704033}, doi = {10.1109/ICM.2018.8704033}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icm2/NeggazNK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/FoudaLEK18, author = {Mohammed E. Fouda and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Overcoming Crossbar Nonidealities in Binary Neural Networks Through Learning}, booktitle = {Proceedings of the 14th {IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2018, Athens, Greece, July 17-19, 2018}, pages = {31--33}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3232195.3232226}, doi = {10.1145/3232195.3232226}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/FoudaLEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/FoudaEK18, author = {Mohammed E. Fouda and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Minimal Disturbed Bits in Writing Resistive Crossbar Memories}, booktitle = {Proceedings of the 14th {IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2018, Athens, Greece, July 17-19, 2018}, pages = {98--100}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3232195.3232207}, doi = {10.1145/3232195.3232207}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/FoudaEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/AlouaniEEKN17, author = {Ihsen Alouani and Wael M. Elsharkasy and Ahmed M. Eltawil and Fadi J. Kurdahi and Sma{\"{\i}}l Niar}, title = {AS8-static random access memory {(SRAM):} asymmetric {SRAM} architecture for soft error hardening enhancement}, journal = {{IET} Circuits Devices Syst.}, volume = {11}, number = {1}, pages = {89--94}, year = {2017}, url = {https://doi.org/10.1049/iet-cds.2015.0318}, doi = {10.1049/IET-CDS.2015.0318}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cds/AlouaniEEKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ElsharkasyKEK17, author = {Wael M. Elsharkasy and Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {7}, pages = {1803--1814}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2680433}, doi = {10.1109/TCSI.2017.2680433}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ElsharkasyKEK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/YantirEK17, author = {Hasan Erdem Yantir and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Approximate Memristive In-memory Computing}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {16}, number = {5s}, pages = {129:1--129:18}, year = {2017}, url = {https://doi.org/10.1145/3126526}, doi = {10.1145/3126526}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/YantirEK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/AleniziKE17, author = {Farhan A. Alenizi and Fadi J. Kurdahi and Ahmed M. Eltawil}, editor = {Michael B. Matthews}, title = {3D mesh robust watermarking technique for ownership protection}, booktitle = {51st Asilomar Conference on Signals, Systems, and Computers, {ACSSC} 2017, Pacific Grove, CA, USA, October 29 - November 1, 2017}, pages = {217--222}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ACSSC.2017.8335170}, doi = {10.1109/ACSSC.2017.8335170}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/AleniziKE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/ElsharkasyYKEK17, author = {Wael M. Elsharkasy and Hasan Erdem Yantir and Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Efficient pulsed-latch implementation for multiport register files: work-in-progress}, booktitle = {Proceedings of the 2017 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2017, Seoul, Republic of Korea, October 15-20, 2017}, pages = {5:1--5:2}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3125501.3125515}, doi = {10.1145/3125501.3125515}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/ElsharkasyYKEK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenEK17, author = {Xiaoliang Chen and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Low Latency Approximate Adder for Highly Correlated Input Streams}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {121--124}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.26}, doi = {10.1109/ICCD.2017.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenEK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/FoudaEK17, author = {Mohammed E. Fouda and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {On one step row readout technique of selector-less resistive arrays}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {72--75}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8052863}, doi = {10.1109/MWSCAS.2017.8052863}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/FoudaEK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/BahloulFNZEKS17, author = {Mohamed A. Bahloul and Mohamed E. Fouda and Rawan Naous and M. Affan Zidan and Ahmed M. Eltawil and Fadi J. Kurdahi and Khaled Nabil Salama}, title = {Design and analysis of 2T-2M Ternary content addressable memories}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {1430--1433}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8053201}, doi = {10.1109/MWSCAS.2017.8053201}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/BahloulFNZEKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/hwswco/ParkKSKED17, author = {Young{-}Hwan Park and Amin Khajeh and Jun Yong Shin and Fadi J. Kurdahi and Ahmed M. Eltawil and Nikil D. Dutt}, editor = {Soonhoi Ha and J{\"{u}}rgen Teich}, title = {Microarchitecture-Level SoC Design}, booktitle = {Handbook of Hardware/Software Codesign}, pages = {867--913}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-94-017-7267-9\_28}, doi = {10.1007/978-94-017-7267-9\_28}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/hwswco/ParkKSKED17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigbed/KurdahiFGE16, author = {Fadi J. Kurdahi and Mohammad Abdullah Al Faruque and Daniel Gajski and Ahmed M. Eltawil}, title = {A case study to develop a graduate-level degree program in embedded {\&} cyber-physical systems}, journal = {{SIGBED} Rev.}, volume = {14}, number = {1}, pages = {16--21}, year = {2016}, url = {https://doi.org/10.1145/3036686.3036688}, doi = {10.1145/3036686.3036688}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigbed/KurdahiFGE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NassarK16, author = {Ahmed Nassar and Fadi J. Kurdahi}, title = {Lattice-based Boolean diagrams}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {468--473}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428056}, doi = {10.1109/ASPDAC.2016.7428056}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/NassarK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/DuttKEH16, author = {Nikil D. Dutt and Fadi J. Kurdahi and Rolf Ernst and Andreas Herkersdorf}, title = {Conquering MPSoC complexity with principles of a self-aware information processing factory}, booktitle = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES} 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016}, pages = {37:1--37:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968456.2973275}, doi = {10.1145/2968456.2973275}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/DuttKEH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlouaniNHSKA16, author = {Ihsen Alouani and Sma{\"{\i}}l Niar and Yassin El Hillali and Mazen A. R. Saghir and Fadi J. Kurdahi and Menhaj Atika}, editor = {Cristina Silvano and Walter Stechele and Stephan Wong and Jer{\'{o}}nimo Castrill{\'{o}}n and Michael H{\"{u}}bner and Amir Hossein Ashouri}, title = {Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems}, booktitle = {Proceedings of the 1st International Workshop on RESource Awareness and Application Auto-tuning in Adaptive and heterogeNeous compuTing co-located with 19th International Conference on Design, Automation And Test In Europe {(DATE} 2016), Dresden, Germany, March 18th, 2016}, series = {{CEUR} Workshop Proceedings}, volume = {1643}, pages = {33--37}, publisher = {CEUR-WS.org}, year = {2016}, url = {https://ceur-ws.org/Vol-1643/paper-07.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:47 +0100}, biburl = {https://dblp.org/rec/conf/date/AlouaniNHSKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NassarKZ16, author = {Ahmed Nassar and Fadi J. Kurdahi and Salam R. Zantout}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Topaz: Mining high-level safety properties from logic simulation traces}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1473--1476}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459543/}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NassarKZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/NguyenKM16, author = {Hung Nguyen and Fadi J. Kurdahi and Aditi Majumder}, editor = {Muhammad Shafique and Sander Stuijk and Hyunok Oh and Todor P. Stefanov}, title = {Resource Aggregation for Collaborative Video from Multiple Projector enabled Mobile Devices}, booktitle = {Proceedings of the 14th {ACM/IEEE} Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2016, Pittsburgh, PA, USA, October 6-7, 2016}, pages = {47--56}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2993561}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/NguyenKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YantirFEK16, author = {Hasan Erdem Yantir and Mohammed E. Fouda and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Process variations-aware resistive associative processor design}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {49--55}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753260}, doi = {10.1109/ICCD.2016.7753260}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YantirFEK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccps/HovhannisyanKEA16, author = {Davit Hovhannisyan and Fadi J. Kurdahi and Ahmed M. Eltawil and Amir Aghakouchak and Mohammad Abdullah Al Faruque}, title = {Poster Abstract: Unifying Modeling Substrate for Irrigation Cyber-Physical Systems}, booktitle = {7th {ACM/IEEE} International Conference on Cyber-Physical Systems, {ICCPS} 2016, Vienna, Austria, April 11-14, 2016}, pages = {31:1}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCPS.2016.7479116}, doi = {10.1109/ICCPS.2016.7479116}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccps/HovhannisyanKEA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/StamelakosKEPSK16, author = {Ioannis S. Stamelakos and Amin Khajeh and Ahmed M. Eltawil and Gianluca Palermo and Cristina Silvano and Fadi J. Kurdahi}, title = {A System-Level Exploration of Power Delivery Architectures for Near-Threshold Manycores Considering Performance Constraints}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2016, Pittsburgh, PA, USA, July 11-13, 2016}, pages = {484--489}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISVLSI.2016.65}, doi = {10.1109/ISVLSI.2016.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/StamelakosKEPSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/RahimzadehNSKM16, author = {Mehdi Rahimzadeh and Hung Nguyen and Ardalan Amiri Sani and Fadi J. Kurdahi and Aditi Majumder}, editor = {Yiyu Cai and Daniel Thalmann}, title = {Rectangular stable power-aware mobile projection on planar surfaces}, booktitle = {Proceedings of the 15th {ACM} {SIGGRAPH} Conference on Virtual-Reality Continuum and Its Applications in Industry, {VRCAI} 2016, Zhuhai, China, December 3-4, 2016}, pages = {97--105}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/3013971.3013989}, doi = {10.1145/3013971.3013989}, timestamp = {Tue, 06 Nov 2018 11:07:08 +0100}, biburl = {https://dblp.org/rec/conf/vrcai/RahimzadehNSKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/16/SasanKE16, author = {Avesta Sasan and Fadi J. Kurdahi and Ahmed M. Eltawil}, editor = {Michael H{\"{u}}bner and Cristina Silvano}, title = {Resizable Data Composer {(RDC)} Cache: {A} Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance}, booktitle = {Near Threshold Computing, Technology, Methods and Applications}, pages = {57--73}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-23389-5\_4}, doi = {10.1007/978-3-319-23389-5\_4}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/16/SasanKE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/16/SasanKE16a, author = {Avesta Sasan and Fadi J. Kurdahi and Ahmed M. Eltawil}, editor = {Michael H{\"{u}}bner and Cristina Silvano}, title = {Erratum to: Chapter 4 Resizable Data Composer {(RDC)} Cache: {A} Near-Threshold Cache Tolerating Process Variation via Architectural Fault Tolerance}, booktitle = {Near Threshold Computing, Technology, Methods and Applications}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-23389-5\_6}, doi = {10.1007/978-3-319-23389-5\_6}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/16/SasanKE16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/ShinKD15, author = {Jun Yong Shin and Fadi J. Kurdahi and Nikil D. Dutt}, title = {Cooperative On-Chip Temperature EstimationUsing Multiple Virtual Sensors}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {7}, number = {2}, pages = {37--40}, year = {2015}, url = {https://doi.org/10.1109/LES.2015.2400992}, doi = {10.1109/LES.2015.2400992}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/ShinKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/KhorshidEK15, author = {Ahmed E. Khorshid and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Michael B. Matthews}, title = {Intra-body communication model based on variable biological parameters}, booktitle = {49th Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2015, Pacific Grove, CA, USA, November 8-11, 2015}, pages = {948--951}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ACSSC.2015.7421278}, doi = {10.1109/ACSSC.2015.7421278}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/KhorshidEK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/NassarKE15, author = {Ahmed Nassar and Fadi J. Kurdahi and Wael M. Elsharkasy}, editor = {Ravi Iyer and Siddharth Garg}, title = {{NUVA:} Architectural support for runtime verification of parametric specifications over multicores}, booktitle = {2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, {CASES} 2015, Amsterdam, The Netherlands, October 4-9, 2015}, pages = {137--146}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CASES.2015.7324554}, doi = {10.1109/CASES.2015.7324554}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/NassarKE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/AleniziKEA15, author = {Farhan A. Alenizi and Fadi J. Kurdahi and Ahmed M. Eltawil and Abdullah Aljumah}, title = {DWT-based watermarking technique for video authentication}, booktitle = {2015 {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2015, Cairo, Egypt, December 6-9, 2015}, pages = {41--44}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICECS.2015.7440244}, doi = {10.1109/ICECS.2015.7440244}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/AleniziKEA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ShinKD15, author = {Jun Yong Shin and Fadi J. Kurdahi and Nikil D. Dutt}, title = {Thermal sensor allocation for SoCs based on temperature gradients}, booktitle = {Sixteenth International Symposium on Quality Electronic Design, {ISQED} 2015, Santa Clara, CA, USA, March 2-4, 2015}, pages = {29--34}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISQED.2015.7085393}, doi = {10.1109/ISQED.2015.7085393}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ShinKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KhairyKEK14, author = {Muhammed S. Khairy and Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Equi-Noise: {A} Statistical Model That Combines Embedded Memory Failures and Channel Noise}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {2}, pages = {407--419}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2013.2268197}, doi = {10.1109/TCSI.2013.2268197}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/KhairyKEK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KhairySEK14, author = {Muhammed S. Khairy and Chung{-}An Shen and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Algorithms and Architectures of Energy-Efficient Error-Resilient {MIMO} Detectors for Memory-Dominated Wireless Communication Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {7}, pages = {2159--2171}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2298273}, doi = {10.1109/TCSI.2014.2298273}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/KhairySEK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KhairyKEK14a, author = {Muhammad S. Khairy and Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Joint Power Management and Adaptive Modulation and Coding for Wireless Communications Systems With Unreliable Buffering Memories}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {8}, pages = {2456--2465}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2309791}, doi = {10.1109/TCSI.2014.2309791}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/KhairyKEK14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/AmiriYMKZ14, author = {Kiarash Amiri and Shih{-}Hsien Yang and Aditi Majumder and Fadi J. Kurdahi and Magda El Zarki}, title = {Mobile Collaborative Video}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {24}, number = {9}, pages = {1594--1604}, year = {2014}, url = {https://doi.org/10.1109/TCSVT.2014.2302523}, doi = {10.1109/TCSVT.2014.2302523}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/AmiriYMKZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ChakrabortyHDDE14, author = {Arup Chakraborty and Houman Homayoun and Amin Khajeh and Nikil D. Dutt and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Multicopy Cache: {A} Highly Energy-Efficient Cache Architecture}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {5s}, pages = {150:1--150:27}, year = {2014}, url = {https://doi.org/10.1145/2632162}, doi = {10.1145/2632162}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/ChakrabortyHDDE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PirbadianKEK14, author = {Aras Pirbadian and Muhammad S. Khairy and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {State dependent statistical timing model for voltage scaled circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1432--1435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865414}, doi = {10.1109/ISCAS.2014.6865414}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PirbadianKEK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenKEK14, author = {Chung{-}An Shen and Muhammad S. Khairy and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Low power reduced-complexity error-resilient {MIMO} detector}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1688--1691}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865478}, doi = {10.1109/ISCAS.2014.6865478}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShenKEK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/PirbadianKEK14, author = {Aras Pirbadian and Muhammed S. Khairy and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {State Dependent Statistical Timing Model for Voltage Scaled Circuits}, journal = {CoRR}, volume = {abs/1403.2785}, year = {2014}, url = {http://arxiv.org/abs/1403.2785}, eprinttype = {arXiv}, eprint = {1403.2785}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/PirbadianKEK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/EltawilEGDKMNS13, author = {Ahmed M. Eltawil and Michael Engel and Bibiche M. Geuskens and Amin Khajeh Djahromi and Fadi J. Kurdahi and Peter Marwedel and Sma{\"{\i}}l Niar and Mazen A. R. Saghir}, title = {A survey of cross-layer power-reliability tradeoffs in multi and many core systems-on-chip}, journal = {Microprocess. Microsystems}, volume = {37}, number = {8-A}, pages = {760--771}, year = {2013}, url = {https://doi.org/10.1016/j.micpro.2013.07.008}, doi = {10.1016/J.MICPRO.2013.07.008}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/EltawilEGDKMNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/KhairyEKK13, author = {Muhammed S. Khairy and Ahmed M. Eltawil and Fadi J. Kurdahi and Amin Khajeh}, editor = {Michael B. Matthews}, title = {Error-aware power management for memory dominated {OFDM} systems}, booktitle = {2013 Asilomar Conference on Signals, Systems and Computers, Pacific Grove, CA, USA, November 3-6, 2013}, pages = {2034--2040}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACSSC.2013.6810664}, doi = {10.1109/ACSSC.2013.6810664}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/KhairyEKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TranKEH13, author = {Le{-}Nguyen Tran and Fadi J. Kurdahi and Ahmed M. Eltawil and Houman Homayoun}, title = {Heterogeneous memory management for 3D-DRAM and external {DRAM} with QoS}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {663--668}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509676}, doi = {10.1109/ASPDAC.2013.6509676}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/TranKEH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/HussienEEKK13, author = {Amr M. A. Hussien and Wael M. Elsharkasy and Ahmed M. Eltawil and Fadi J. Kurdahi and Amin Khajeh}, title = {Low overhead correction scheme for unreliable {LDPC} buffering}, booktitle = {{IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2013, Austin, TX, USA, December 3-5, 2013}, pages = {667--670}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GlobalSIP.2013.6736979}, doi = {10.1109/GLOBALSIP.2013.6736979}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globalsip/HussienEEKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/NassarK13, author = {Ahmed Nassar and Fadi J. Kurdahi}, title = {Architectural support for runtime verification on ccNUMA multiprocessors}, booktitle = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh, Morocco, 16-18 December, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IDT.2013.6727122}, doi = {10.1109/IDT.2013.6727122}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/NassarK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ShinDK13, author = {Jun Yong Shin and Nikil D. Dutt and Fadi J. Kurdahi}, title = {Vision-inspired global routing for enhanced performance and reliability}, booktitle = {International Symposium on Quality Electronic Design, {ISQED} 2013, Santa Clara, CA, USA, March 4-6, 2013}, pages = {239--244}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISQED.2013.6523616}, doi = {10.1109/ISQED.2013.6523616}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ShinDK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KhajehKDEK12, author = {Amin Khajeh and Minyoung Kim and Nikil D. Dutt and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Error-Aware Algorithm/Architecture Coexploration for Video Over Wireless Applications}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {11}, number = {{S1}}, pages = {15}, year = {2012}, url = {https://doi.org/10.1145/2180887.2180892}, doi = {10.1145/2180887.2180892}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/KhajehKDEK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SasanAHEK12, author = {Avesta Sasan and Kiarash Amiri and Houman Homayoun and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Variation Trained Drowsy Cache (VTD-Cache): {A} History Trained Variation Aware Drowsy Cache for Fine Grain Voltage Scaling}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {4}, pages = {630--642}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2106523}, doi = {10.1109/TVLSI.2011.2106523}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SasanAHEK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/KhairyGKE12, author = {Muhammed S. Khairy and Amir Hossein Gholamipour and Fadi J. Kurdahi and Ahmed M. Eltawil}, editor = {Michael B. Matthews}, title = {Reliable low power Distributed Arithmetic filters via N-Modular Redundancy}, booktitle = {Conference Record of the Forty Sixth Asilomar Conference on Signals, Systems and Computers, {ACSCC} 2012, Pacific Grove, CA, USA, November 4-7, 2012}, pages = {621--625}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ACSSC.2012.6489083}, doi = {10.1109/ACSSC.2012.6489083}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/KhairyGKE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KhairySEK12, author = {Muhammed S. Khairy and Chung{-}An Shen and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Error resilient {MIMO} detector for memory-dominated wireless communication systems}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {3566--3571}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503668}, doi = {10.1109/GLOCOM.2012.6503668}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KhairySEK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ZaynounKEKK12, author = {Samy Zaynoun and Muhammed S. Khairy and Ahmed M. Eltawil and Fadi J. Kurdahi and Amin Khajeh}, title = {Fast error aware model for arithmetic and logic circuits}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {322--328}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378659}, doi = {10.1109/ICCD.2012.6378659}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ZaynounKEKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SasanHAEK12, author = {Avesta Sasan and Houman Homayoun and Kiarash Amiri and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Keith A. Bowman and Kamesh V. Gadepally and Pallab Chatterjee and Mark M. Budnik and Lalitha Immaneni}, title = {History {\&} Variation Trained Cache (HVT-Cache): {A} process variation aware and fine grain voltage scalable cache with active access history monitoring}, booktitle = {Thirteenth International Symposium on Quality Electronic Design, {ISQED} 2012, Santa Clara, CA, USA, March 19-21, 2012}, pages = {498--505}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISQED.2012.6187540}, doi = {10.1109/ISQED.2012.6187540}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/SasanHAEK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/AmiriYKZM12, author = {Kiarash Amiri and Shih{-}Hsien Yang and Fadi J. Kurdahi and Magda El Zarki and Aditi Majumder}, editor = {Mark Claypool and Carsten Griwodz}, title = {Collaborative video playback on a federation of tiled mobile projectors enabled by visual feedback}, booktitle = {Proceedings of the Third Annual {ACM} {SIGMM} Conference on Multimedia Systems, MMSys 2012, Chapel Hill, NC, USA, February 22-24, 2012}, pages = {113--118}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2155555.2155575}, doi = {10.1145/2155555.2155575}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/AmiriYKZM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/AlouaniNKA12, author = {Ihsen Alouani and Sma{\"{\i}}l Niar and Fadi J. Kurdahi and Mohamed Abid}, title = {Parity-based mono-Copy Cache for low power consumption and high reliability}, booktitle = {Proceedings of the 23rd {IEEE} International Symposium on Rapid System Prototyping, {RSP} 2012, Tampere, Finland, October 11-12, 2012}, pages = {44--48}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/RSP.2012.6380689}, doi = {10.1109/RSP.2012.6380689}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/rsp/AlouaniNKA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/HomayounGBVK11, author = {Houman Homayoun and Shahin Golshan and Eli Bozorgzadeh and Alexander V. Veidenbaum and Fadi J. Kurdahi}, title = {On leakage power optimization in clock tree networks for ASICs and general-purpose processors}, journal = {Sustain. Comput. Informatics Syst.}, volume = {1}, number = {1}, pages = {75--87}, year = {2011}, url = {https://doi.org/10.1016/j.suscom.2010.10.005}, doi = {10.1016/J.SUSCOM.2010.10.005}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/HomayounGBVK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ParkPKD11, author = {Young{-}Hwan Park and Sudeep Pasricha and Fadi J. Kurdahi and Nikil D. Dutt}, title = {A Multi-Granularity Power Modeling Methodology for Embedded Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {4}, pages = {668--681}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2009.2039153}, doi = {10.1109/TVLSI.2009.2039153}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ParkPKD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SasanHEK11, author = {Avesta Sasan and Houman Homayoun and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Inquisitive Defect Cache: {A} Means of Combating Manufacturing Induced Process Variation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {9}, pages = {1597--1609}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2055589}, doi = {10.1109/TVLSI.2010.2055589}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SasanHEK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KhajehEK11, author = {Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Embedded Memories Fault-Tolerant Pre- and Post-Silicon Optimization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {10}, pages = {1916--1921}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2056397}, doi = {10.1109/TVLSI.2010.2056397}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KhajehEK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/GolshanKHBEK11, author = {Shahin Golshan and Amin Khajeh and Houman Homayoun and Eli Bozorgzadeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Robert P. Dick and Jan Madsen}, title = {Reliability-aware placement in SRAM-based {FPGA} for voltage scaling realization in the presence of process variations}, booktitle = {Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2011, part of ESWeek '11 Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011}, pages = {257--266}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2039370.2039410}, doi = {10.1145/2039370.2039410}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codes/GolshanKHBEK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AmiriYLKZM11, author = {Kiarash Amiri and Shih{-}Hsien Yang and Christopher F. Larsen and Fadi J. Kurdahi and Magda El Zarki and Aditi Majumder}, title = {Camera-based video synchronization for a federation of mobile projectors}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2011, Colorado Springs, CO, USA, 20-25 June, 2011}, pages = {44--51}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CVPRW.2011.5981744}, doi = {10.1109/CVPRW.2011.5981744}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/AmiriYLKZM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HussienKKEK11, author = {Amr M. A. Hussien and Muhammed S. Khairy and Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {A Class of Low Power Error Compensation Iterative Decoders}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6134075}, doi = {10.1109/GLOCOM.2011.6134075}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HussienKKEK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/GholamipourPKDE11, author = {Amir Hossein Gholamipour and Kyprianos Papadimitriou and Fadi J. Kurdahi and Apostolos Dollas and Ahmed M. Eltawil}, title = {Area, reconfiguration delay and reliability trade-offs in designing reliable multi-mode {FIR} filters}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {82--87}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123107}, doi = {10.1109/IDT.2011.6123107}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/idt/GholamipourPKDE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/TranKEA11, author = {Le{-}Nguyen Tran and Fadi J. Kurdahi and Ahmed M. Eltawil and Abdullah Aljumah}, title = {Adjustable supply voltages and refresh cycle for process variations, temperature changes, and device degradation adaptation in 1T1C embedded {DRAM}}, booktitle = {6th {IEEE} International Design and Test Workshop, {IDT} 2011, Beirut, Lebanon, 11-14 December 2011}, pages = {124--129}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IDT.2011.6123115}, doi = {10.1109/IDT.2011.6123115}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt/TranKEA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GholamipourGCTSKE11, author = {Amir Hossein Gholamipour and Ali Gorcin and Hasari Celebi and B. Ugur T{\"{o}}reyin and Mazen A. R. Saghir and Fadi J. Kurdahi and Ahmed M. Eltawil}, title = {Reconfigurable filter implementation of a matched-filter based spectrum sensor for Cognitive Radio systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2457--2460}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938101}, doi = {10.1109/ISCAS.2011.5938101}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GholamipourGCTSKE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PasrichaPKD10, author = {Sudeep Pasricha and Young{-}Hwan Park and Fadi J. Kurdahi and Nikil D. Dutt}, title = {{CAPPS:} {A} Framework for Power-Performance Tradeoffs in Bus-Matrix-Based On-Chip Communication Architecture Synthesis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {2}, pages = {209--221}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2008.2009304}, doi = {10.1109/TVLSI.2008.2009304}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/PasrichaPKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KurdahiEYCD10, author = {Fadi J. Kurdahi and Ahmed M. Eltawil and Kang Yi and Stanley Cheng and Amin Khajeh}, title = {Low-Power Multimedia System Design by Aggressive Voltage Scaling}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {5}, pages = {852--856}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2016665}, doi = {10.1109/TVLSI.2009.2016665}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KurdahiEYCD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/PasrichaKD10, author = {Sudeep Pasricha and Fadi J. Kurdahi and Nikil D. Dutt}, title = {Evaluating Carbon Nanotube Global Interconnects for Chip Multiprocessor Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {9}, pages = {1376--1380}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2024118}, doi = {10.1109/TVLSI.2009.2024118}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/PasrichaKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/ChakrabortyHKDEK10, author = {Arup Chakraborty and Houman Homayoun and Amin Khajeh and Nikil D. Dutt and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Vinod Kathail and Reid Tatge and Rajeev Barua}, title = {E {\textless} {MC2:} less energy through multi-copy cache}, booktitle = {Proceedings of the 2010 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2010, Scottsdale, AZ, USA, October 24-29, 2010}, pages = {237--246}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1878921.1878956}, doi = {10.1145/1878921.1878956}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cases/ChakrabortyHKDEK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/HomayounSGVKD10, author = {Houman Homayoun and Avesta Sasan and Aseem Gupta and Alexander V. Veidenbaum and Fadi J. Kurdahi and Nikil D. Dutt}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {297--308}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787339}, doi = {10.1145/1787275.1787339}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/HomayounSGVKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/AmiriKEK10, author = {Kiarash Amiri and Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Process variation aware transcoding for low power {H.264} decoding}, booktitle = {8th {IEEE} Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2010, 28-29 October 2010, Scottsdale, AZ, {USA}}, pages = {90--96}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ESTMED.2010.5666988}, doi = {10.1109/ESTMED.2010.5666988}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/AmiriKEK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/GholamipourKES10, author = {Amir Hossein Gholamipour and Fadi J. Kurdahi and Ahmed M. Eltawil and Mazen A. R. Saghir}, title = {Exploiting Architectural Similarities and Mode Sequencing in Joint Cost Optimization of Multi-mode {FIR} Filters}, booktitle = {International Conference on Field Programmable Logic and Applications, {FPL} 2010, August 31 2010 - September 2, 2010, Milano, Italy}, pages = {175--178}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/FPL.2010.42}, doi = {10.1109/FPL.2010.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpl/GholamipourKES10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KhajehAKEK10, author = {Amin Khajeh and Kiarash Amiri and Muhammed S. Khairy and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {A Unified Hardware and Channel Noise Model for Communication Systems}, booktitle = {Proceedings of the Global Communications Conference, 2010. {GLOBECOM} 2010, 6-10 December 2010, Miami, Florida, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/GLOCOM.2010.5683123}, doi = {10.1109/GLOCOM.2010.5683123}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KhajehAKEK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/HomayounGVSKD10, author = {Houman Homayoun and Aseem Gupta and Alexander V. Veidenbaum and Avesta Sasan and Fadi J. Kurdahi and Nikil D. Dutt}, editor = {Yale N. Patt and Pierfrancesco Foglia and Evelyn Duesterwald and Paolo Faraboschi and Xavier Martorell}, title = {{RELOCATE:} Register File Local Access Pattern Redistribution Mechanism for Power and Thermal Management in Out-of-Order Embedded Processor}, booktitle = {High Performance Embedded Architectures and Compilers, 5th International Conference, HiPEAC 2010, Pisa, Italy, January 25-27, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5952}, pages = {216--231}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-11515-8\_17}, doi = {10.1007/978-3-642-11515-8\_17}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/HomayounGVSKD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ict/GholamipourKES10, author = {Amir Hossein Gholamipour and Fadi J. Kurdahi and Ahmed M. Eltawil and Mazen A. R. Saghir}, title = {Placement-aware partial reconfiguration for a class of FIR-like structures}, booktitle = {17th International Conference on Telecommunications, {ICT} 2010, Doha, Qatar, April 4-7, 2010}, pages = {965--972}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTEL.2010.5478857}, doi = {10.1109/ICTEL.2010.5478857}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ict/GholamipourKES10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhajehEK10, author = {Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Effect of body biasing on embedded {SRAM} failure}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {2350--2353}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537193}, doi = {10.1109/ISCAS.2010.5537193}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhajehEK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HomayounGBVK10, author = {Houman Homayoun and Shahin Golshan and Eli Bozorgzadeh and Alexander V. Veidenbaum and Fadi J. Kurdahi}, title = {Post-synthesis sleep transistor insertion for leakage power optimization in clock tree networks}, booktitle = {11th International Symposium on Quality of Electronic Design {(ISQED} 2010), 22-24 March 2010, San Jose, CA, {USA}}, pages = {499--507}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISQED.2010.5450530}, doi = {10.1109/ISQED.2010.5450530}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HomayounGBVK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/Kurdahi10, author = {Fadi J. Kurdahi}, editor = {Jo{\~{a}}o Antonio Martino and Guido Araujo and Alex Orailoglu and Felipe Klein}, title = {Designing working systems with imperfect chips}, booktitle = {Proceedings of the 23rd Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2010, S{\~{a}}o Paulo, Brazil, September 6-9, 2010}, pages = {127}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854153.1854186}, doi = {10.1145/1854153.1854186}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbcci/Kurdahi10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/samos/2010ic, editor = {Fadi J. Kurdahi and Jarmo Takala}, title = {Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2010), Samos, Greece, July 19-22, 2010}, publisher = {{IEEE}}, year = {2010}, isbn = {978-1-4244-7937-5}, timestamp = {Wed, 24 Nov 2010 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/2010ic.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/PasrichaPDK09, author = {Sudeep Pasricha and Young{-}Hwan Park and Nikil D. Dutt and Fadi J. Kurdahi}, title = {System-level {PVT} variation-aware power exploration of on-chip communication architectures}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {14}, number = {2}, pages = {20:1--20:25}, year = {2009}, url = {https://doi.org/10.1145/1497561.1497563}, doi = {10.1145/1497561.1497563}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/PasrichaPDK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MakhzanKEK09, author = {Mohammad A. Makhzan and Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {A Low Power {JPEG2000} Encoder With Iterative and Fault Tolerant Error Concealment}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {6}, pages = {827--837}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2009.2016714}, doi = {10.1109/TVLSI.2009.2016714}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MakhzanKEK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PasrichaDK09, author = {Sudeep Pasricha and Nikil D. Dutt and Fadi J. Kurdahi}, editor = {Kazutoshi Wakabayashi}, title = {Dynamically reconfigurable on-chip communication architectures for multi use-case chip multiprocessor applications}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {25--30}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796436}, doi = {10.1109/ASPDAC.2009.4796436}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PasrichaDK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/SasanHEK09, author = {Avesta Sasan and Houman Homayoun and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {J{\"{o}}rg Henkel and Sri Parameswaran}, title = {A fault tolerant cache architecture for sub 500mV operation: resizable data composer cache (RDC-cache)}, booktitle = {Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2009, Grenoble, France, October 11-16, 2009}, pages = {251--260}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629395.1629431}, doi = {10.1145/1629395.1629431}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/SasanHEK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhajehGDKEKA09, author = {Amin Khajeh and Aseem Gupta and Nikil D. Dutt and Fadi J. Kurdahi and Ahmed M. Eltawil and Kamal S. Khouri and Magdy S. Abadir}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {{TRAM:} {A} tool for Temperature and Reliability Aware Memory Design}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {340--345}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090685}, doi = {10.1109/DATE.2009.5090685}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KhajehGDKEKA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SasanHEK09, author = {Avesta Sasan and Houman Homayoun and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Process Variation Aware SRAM/Cache for aggressive voltage-frequency scaling}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {911--916}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090795}, doi = {10.1109/DATE.2009.5090795}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SasanHEK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/GholamipourEEK09, author = {Amir Hossein Gholamipour and Hamid Eslami and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Kenneth L. Pocek and Duncan A. Buell}, title = {Size-Reconfiguration Delay Tradeoffs for a Class of {DSP} Blocks in Multi-mode Communication Systems}, booktitle = {{FCCM} 2009, 17th {IEEE} Symposium on Field Programmable Custom Computing Machines, Napa, California, USA, 5-7 April 2009, Proceedings}, pages = {71--78}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FCCM.2009.39}, doi = {10.1109/FCCM.2009.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/GholamipourEEK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PasrichaDK09, author = {Sudeep Pasricha and Nikil D. Dutt and Fadi J. Kurdahi}, title = {Exploring Carbon Nanotube Bundle Global Interconnects for Chip Multiprocessor Applications}, booktitle = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction, The 22nd International Conference on {VLSI} Design, New Delhi, India, 5-9 January 2009}, pages = {499--504}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/VLSI.Design.2009.84}, doi = {10.1109/VLSI.DESIGN.2009.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PasrichaDK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aPcsac/YiCKE08, author = {Kang Yi and Shih{-}Yang Cheng and Fadi J. Kurdahi and Ahmed M. Eltawil}, title = {A partial memory protection scheme for higher effective yield of embedded memory for video data}, booktitle = {13th Asia-Pacific Computer Systems Architecture Conference, {ACSAC} 2008, Hsinchu, China, August 4-6, 2008}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/APCSAC.2008.4625448}, doi = {10.1109/APCSAC.2008.4625448}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aPcsac/YiCKE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ParkPKD08, author = {Young{-}Hwan Park and Sudeep Pasricha and Fadi J. Kurdahi and Nikil D. Dutt}, editor = {Catherine H. Gebotys and Grant Martin}, title = {Methodology for multi-granularity embedded processor power model generation for an {ESL} design flow}, booktitle = {Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA, October 19-24, 2008}, pages = {255--260}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1450135.1450194}, doi = {10.1145/1450135.1450194}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/ParkPKD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/KhajehKDEK08, author = {Amin Khajeh and Minyoung Kim and Nikil D. Dutt and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Petru Eles and Andy D. Pimentel}, title = {Cross-layer co-exploration of exploiting error resilience for video over wireless applications}, booktitle = {Proceedings of the 6th {IEEE/ACM/IFIP} Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia 2008, Atlanta, Georgia, USA, 23-24 October 2008}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ESTMED.2008.4696987}, doi = {10.1109/ESTMED.2008.4696987}, timestamp = {Thu, 17 Feb 2022 09:36:04 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/KhajehKDEK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/GuptaDKKA08, author = {Aseem Gupta and Nikil D. Dutt and Fadi J. Kurdahi and Kamal S. Khouri and Magdy S. Abadir}, title = {Thermal Aware Global Routing of {VLSI} Chips for Enhanced Reliability}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {470--475}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479779}, doi = {10.1109/ISQED.2008.4479779}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/GuptaDKKA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/PasrichaKD08, author = {Sudeep Pasricha and Fadi J. Kurdahi and Nikil D. Dutt}, title = {System level performance analysis of carbon nanotube global interconnects for emerging chip multiprocessors}, booktitle = {2008 {IEEE} International Symposium on Nanoscale Architectures, {NANOARCH} 2008, Anaheim, CA, USA, June 12-13, 2008}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/NANOARCH.2008.4585785}, doi = {10.1109/NANOARCH.2008.4585785}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/PasrichaKD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/MakhzanEK08, author = {Mohammad A. Makhzan and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Walid A. Najjar and Holger Blume}, title = {Architectural and algorithm level fault tolerant techniques for low power high yield multimedia devices}, booktitle = {Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2008), Samos, Greece, July 21-24, 2008}, pages = {124--131}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSAMOS.2008.4664855}, doi = {10.1109/ICSAMOS.2008.4664855}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/MakhzanEK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KurdahiDEN08, author = {Fadi J. Kurdahi and Nikil D. Dutt and Ahmed M. Eltawil and Sani R. Nassif}, title = {Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {14--15}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.135}, doi = {10.1109/VLSI.2008.135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KurdahiDEN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/PasrichaPKD08, author = {Sudeep Pasricha and Young{-}Hwan Park and Fadi J. Kurdahi and Nikil D. Dutt}, title = {Incorporating {PVT} Variations in System-Level Power Exploration of On-Chip Communication Architectures}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {363--370}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.14}, doi = {10.1109/VLSI.2008.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/PasrichaPKD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KannanGSDK08, author = {Deepa Kannan and Aseem Gupta and Aviral Shrivastava and Nikil D. Dutt and Fadi J. Kurdahi}, title = {{PTSMT:} {A} Tool for Cross-Level Power, Performance, and Thermal Exploration of {SMT} Processors}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {421--427}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.84}, doi = {10.1109/VLSI.2008.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KannanGSDK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/ZhangK07, author = {Chunhui Zhang and Fadi J. Kurdahi}, title = {Reducing Off-Chip Memory Access via Stream-Conscious Tiling on Multimedia Applications}, journal = {Int. J. Parallel Program.}, volume = {35}, number = {1}, pages = {63--98}, year = {2007}, url = {https://doi.org/10.1007/s10766-006-0027-9}, doi = {10.1007/S10766-006-0027-9}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/ZhangK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/ZhangLK07, author = {Chunhui Zhang and Yun Long and Fadi J. Kurdahi}, title = {A hierarchical pipelining architecture and {FPGA} implementation for lifting-based 2-D {DWT}}, journal = {J. Real Time Image Process.}, volume = {2}, number = {4}, pages = {281--291}, year = {2007}, url = {https://doi.org/10.1007/s11554-007-0057-6}, doi = {10.1007/S11554-007-0057-6}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrtip/ZhangLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ZhangLK07, author = {Chunhui Zhang and Yun Long and Fadi J. Kurdahi}, title = {A scalable embedded {JPEG} 2000 architecture}, journal = {J. Syst. Archit.}, volume = {53}, number = {8}, pages = {524--538}, year = {2007}, url = {https://doi.org/10.1016/j.sysarc.2006.11.010}, doi = {10.1016/J.SYSARC.2006.11.010}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/ZhangLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aPcsac/YiCPKE07, author = {Kang Yi and Shih{-}Yang Cheng and Young{-}Hwan Park and Fadi J. Kurdahi and Ahmed M. Eltawil}, editor = {Lynn Choi and Yunheung Paek and Sangyeun Cho}, title = {An Alternative Organization of Defect Map for Defect-Resilient Embedded On-Chip Memories}, booktitle = {Advances in Computer Systems Architecture, 12th Asia-Pacific Conference, {ACSAC} 2007, Seoul, Korea, August 23-25, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4697}, pages = {102--113}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74309-5\_12}, doi = {10.1007/978-3-540-74309-5\_12}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/aPcsac/YiCPKE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GuptaDKKA07, author = {Aseem Gupta and Nikil D. Dutt and Fadi J. Kurdahi and Kamal S. Khouri and Magdy S. Abadir}, title = {{LEAF:} {A} System Level Leakage-Aware Floorplanner for SoCs}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {274--279}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.357998}, doi = {10.1109/ASPDAC.2007.357998}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GuptaDKKA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/DjahromiEK07, author = {Amin Khajeh Djahromi and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Exploiting Fault Tolerance Towards Power Efficient Wireless Multimedia Applications}, booktitle = {4th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2007, Las Vegas, NV, USA, January 11-13, 2007}, pages = {400--404}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CCNC.2007.85}, doi = {10.1109/CCNC.2007.85}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/DjahromiEK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KurdahiEDMC07, author = {Fadi J. Kurdahi and Ahmed M. Eltawil and Amin Khajeh Djahromi and Mohammad A. Makhzan and Stanley Cheng}, title = {Error-Aware Design}, booktitle = {Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck, Germany}, pages = {8--15}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DSD.2007.4341443}, doi = {10.1109/DSD.2007.4341443}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/dsd/KurdahiEDMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KhajehCEK07, author = {Amin Khajeh and Shih{-}Yang Cheng and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Power Management for Cognitive Radio Platforms}, booktitle = {Proceedings of the Global Communications Conference, 2007. {GLOBECOM} '07, Washington, DC, USA, 26-30 November 2007}, pages = {4066--4070}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/GLOCOM.2007.773}, doi = {10.1109/GLOCOM.2007.773}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KhajehCEK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MakhzanKEK07, author = {Mohammad A. Makhzan and Amin Khajeh and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Limits on voltage scaling for caches utilizing fault tolerant techniques}, booktitle = {25th International Conference on Computer Design, {ICCD} 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}, pages = {488--495}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCD.2007.4601943}, doi = {10.1109/ICCD.2007.4601943}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MakhzanKEK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ParkPKD07, author = {Young{-}Hwan Park and Sudeep Pasricha and Fadi J. Kurdahi and Nikil D. Dutt}, title = {System level power estimation methodology with {H.264} decoder prediction {IP} case study}, booktitle = {25th International Conference on Computer Design, {ICCD} 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings}, pages = {601--608}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCD.2007.4601959}, doi = {10.1109/ICCD.2007.4601959}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ParkPKD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/DjahromiEKK07, author = {Amin Khajeh Djahromi and Ahmed M. Eltawil and Fadi J. Kurdahi and Rouwaida Kanj}, title = {Cross Layer Error Exploitation for Aggressive Voltage Scaling}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {192--197}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.53}, doi = {10.1109/ISQED.2007.53}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/DjahromiEKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/GuptaDKKA07, author = {Aseem Gupta and Nikil D. Dutt and Fadi J. Kurdahi and Kamal S. Khouri and Magdy S. Abadir}, title = {{STEFAL:} {A} System Level Temperature- and Floorplan-Aware Leakage Power Estimator for SoCs}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {559--564}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.150}, doi = {10.1109/VLSID.2007.150}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/GuptaDKKA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/DjahromiEK07, author = {Amin Khajeh Djahromi and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Fault Tolerant Approaches Targeting Ultra Low Power Communications System Design}, booktitle = {Proceedings of the 65th {IEEE} Vehicular Technology Conference, {VTC} Spring 2007, 22-25 April 2007, Dublin, Ireland}, pages = {2600--2604}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/VETECS.2007.535}, doi = {10.1109/VETECS.2007.535}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/DjahromiEK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KulkarniNRK06, author = {Dhananjay Kulkarni and Walid A. Najjar and Robert Rinker and Fadi J. Kurdahi}, title = {Compile-time area estimation for LUT-based FPGAs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {11}, number = {1}, pages = {104--122}, year = {2006}, url = {https://doi.org/10.1145/1124713.1124721}, doi = {10.1145/1124713.1124721}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/KulkarniNRK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aPcsac/YiJCPKE06, author = {Kang Yi and Kyeong{-}Hoon Jung and Shih{-}Yang Cheng and Young{-}Hwan Park and Fadi J. Kurdahi and Ahmed M. Eltawil}, editor = {Chris R. Jesshope and Colin Egan}, title = {Design and Analysis of Low Power Image Filters Toward Defect-Resilient Embedded Memories for Multimedia SoCs}, booktitle = {Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, {ACSAC} 2006, Shanghai, China, September 6-8, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4186}, pages = {295--308}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11859802\_24}, doi = {10.1007/11859802\_24}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aPcsac/YiJCPKE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/GuptaDKKA06, author = {Aseem Gupta and Nikil D. Dutt and Fadi J. Kurdahi and Kamal S. Khouri and Magdy S. Abadir}, editor = {Reinaldo A. Bergamaschi and Kiyoung Choi}, title = {Floorplan driven leakage power aware IP-based SoC design space exploration}, booktitle = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October 22-25, 2006}, pages = {118--123}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176254.1176284}, doi = {10.1145/1176254.1176284}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/GuptaDKKA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/PasrichaPKD06, author = {Sudeep Pasricha and Young{-}Hwan Park and Fadi J. Kurdahi and Nikil D. Dutt}, editor = {Reinaldo A. Bergamaschi and Kiyoung Choi}, title = {System-level power-performance trade-offs in bus matrix communication architecture synthesis}, booktitle = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October 22-25, 2006}, pages = {300--305}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176254.1176327}, doi = {10.1145/1176254.1176327}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/PasrichaPKD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KurdahiEPKN06, author = {Fadi J. Kurdahi and Ahmed M. Eltawil and Young{-}Hwan Park and Rouwaida Kanj and Sani R. Nassif}, title = {System-Level {SRAM} Yield Enhancement}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.130}, doi = {10.1109/ISQED.2006.130}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KurdahiEPKN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhangK05, author = {Chunhui Zhang and Fadi J. Kurdahi}, editor = {Tingao Tang}, title = {On combining iteration space tiling with data space tiling for scratch-pad memory systems}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {973--976}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120770}, doi = {10.1145/1120725.1120770}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhangK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/EltawilK05, author = {Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Improving effective yield through error tolerant system design}, booktitle = {12th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2005, Gammarth, Tunisia, December 11-14, 2005}, pages = {1--4}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICECS.2005.4633574}, doi = {10.1109/ICECS.2005.4633574}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/EltawilK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icps/Kurdahi05, author = {Fadi J. Kurdahi}, title = {Reconfigurable computing: is it ready for industry}, booktitle = {Proceedings of the International Conference on Pervasive Services 2005, {ICPS} '05, Santorini, Greece, July 11-14, 2005}, pages = {337--343}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/PERSER.2005.1506541}, doi = {10.1109/PERSER.2005.1506541}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icps/Kurdahi05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/ZhangLK05, author = {Chunhui Zhang and Yun Long and Fadi J. Kurdahi}, editor = {Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and Andy D. Pimentel and Jarmo Takala and Stamatis Vassiliadis}, title = {A Scalable Embedded {JPEG2000} Architecture}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation 5th International Workshop, {SAMOS} 2005, Samos, Greece, July 18-20, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3553}, pages = {334--343}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11512622\_36}, doi = {10.1007/11512622\_36}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/samos/ZhangLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LongZK04, author = {Yun Long and Chunhui Zhang and Fadi J. Kurdahi}, title = {A high-performance parallel mode {EBCOT} encoder architecture design for {JPEG2000}}, booktitle = {Proceedings 2004 {IEEE} International {SOC} Conference, September 12-15, 2004, Hilton Santa Clara, CA, {USA}}, pages = {213--216}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/SOCC.2004.1362411}, doi = {10.1109/SOCC.2004.1362411}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LongZK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/VenkataramaniNKBBH03, author = {Girish Venkataramani and Walid A. Najjar and Fadi J. Kurdahi and Nader Bagherzadeh and A. P. Wim B{\"{o}}hm and Jeffrey Hammes}, title = {Automatic compilation to a coarse-grained reconfigurable system-opn-chip}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {2}, number = {4}, pages = {560--589}, year = {2003}, url = {https://doi.org/10.1145/950162.950167}, doi = {10.1145/950162.950167}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/VenkataramaniNKBBH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/MohebbiFMDK03, author = {Behzad Mohebbi and Eliseu Chavez Filho and Rafael Maestre and Mark Davies and Fadi J. Kurdahi}, editor = {Rajesh Gupta and Yukihiro Nakamura and Alex Orailoglu and Pai H. Chou}, title = {A case study of mapping a software-defined radio {(SDR)} application on a reconfigurable {DSP} core}, booktitle = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003, Newport Beach, CA, USA, October 1-3, 2003}, pages = {103--108}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/944645.944676}, doi = {10.1145/944645.944676}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/MohebbiFMDK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/Kurdahi02, author = {Fadi J. Kurdahi}, title = {Guest editorial special issue on system synthesis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {10}, number = {4}, pages = {377--378}, year = {2002}, url = {https://doi.org/10.1109/TVLSI.2002.806919}, doi = {10.1109/TVLSI.2002.806919}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/Kurdahi02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sanchez-ElezFMMKHB02, author = {Marcos S{\'{a}}nchez{-}{\'{E}}lez and Milagros Fern{\'{a}}ndez and Rafael Maestre and Rom{\'{a}}n Hermida and Nader Bagherzadeh and Fadi J. Kurdahi}, title = {A Complete Data Scheduler for Multi-Context Reconfigurable Architectures}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {547--552}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998354}, doi = {10.1109/DATE.2002.998354}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Sanchez-ElezFMMKHB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/PariziNBK02, author = {Hooman Parizi and Afshin Niktash and Nader Bagherzadeh and Fadi J. Kurdahi}, editor = {Burkhard Monien and Rainer Feldmann}, title = {MorphoSys: {A} Coarse Grain Reconfigurable Architecture for Multimedia Applications (Research Note)}, booktitle = {Euro-Par 2002, Parallel Processing, 8th International Euro-Par Conference Paderborn, Germany, August 27-30, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2400}, pages = {844--848}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45706-2\_119}, doi = {10.1007/3-540-45706-2\_119}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/PariziNBK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/KulkarniNRK02, author = {Dhananjay Kulkarni and Walid A. Najjar and Robert Rinker and Fadi J. Kurdahi}, title = {Fast Area Estimation to Support Compiler Optimizations in FPGA-Based Reconfigurable Systems}, booktitle = {10th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2002), 22-24 April 2002, Napa, CA, USA, Proceedings}, pages = {239}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/FPGA.2002.1106678}, doi = {10.1109/FPGA.2002.1106678}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/KulkarniNRK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/MaestreKFHBS01, author = {Rafael Maestre and Fadi J. Kurdahi and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh and Hartej Singh}, title = {Kernel scheduling techniques for efficient solution space exploration in reconfigurable computing}, journal = {J. Syst. Archit.}, volume = {47}, number = {3-4}, pages = {277--292}, year = {2001}, url = {https://doi.org/10.1016/S1383-7621(00)00050-3}, doi = {10.1016/S1383-7621(00)00050-3}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/MaestreKFHBS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MaestreKFHBS01a, author = {Rafael Maestre and Fadi J. Kurdahi and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Nader Bagherzadeh and Hartej Singh}, title = {A framework for reconfigurable computing: task scheduling and context management}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {9}, number = {6}, pages = {858--873}, year = {2001}, url = {https://doi.org/10.1109/92.974899}, doi = {10.1109/92.974899}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MaestreKFHBS01a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/VenkataramaniNKBB01, author = {Girish Venkataramani and Walid A. Najjar and Fadi J. Kurdahi and Nader Bagherzadeh and A. P. Wim B{\"{o}}hm}, editor = {Guang R. Gao and Trevor N. Mudge and Krishna V. Palem}, title = {A compiler framework for mapping applications to a coarse-grained reconfigurable computer architecture}, booktitle = {Proceedings of the 2001 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2001, Atlanta, Georgia, USA, November 16-17, 2001}, pages = {116--125}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/502217.502235}, doi = {10.1145/502217.502235}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/VenkataramaniNKBB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LiuCBK01, author = {Jinfeng Liu and Pai H. Chou and Nader Bagherzadeh and Fadi J. Kurdahi}, editor = {Jan Madsen and J{\"{o}}rg Henkel and Xiaobo Sharon Hu}, title = {A constraint-based application model and scheduling techniques for power-aware systems}, booktitle = {Proceedings of the Ninth International Symposium on Hardware/Software Codesign, {CODES} 2001, Copenhagen, Denmark, 2001}, pages = {153--158}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/371636.371707}, doi = {10.1145/371636.371707}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/LiuCBK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuCBK01, author = {Jinfeng Liu and Pai H. Chou and Nader Bagherzadeh and Fadi J. Kurdahi}, title = {Power-Aware Scheduling under Timing Constraints for Mission-Critical Embedded Systems}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {840--845}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379076}, doi = {10.1145/378239.379076}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LiuCBK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/Sanchez-ElezFHMKB01, author = {Marcos S{\'{a}}nchez{-}{\'{E}}lez and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Rafael Maestre and Fadi J. Kurdahi and Nader Bagherzadeh}, editor = {Rom{\'{a}}n Hermida and El Mostapha Aboulhamid}, title = {A data scheduler for multi-context reconfigurable architectures}, booktitle = {Proceedings of the 14th International Symposium on Systems Synthesis, {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September 30 - October 3, 2001}, pages = {177--182}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2001}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2001.957935}, doi = {10.1109/ISSS.2001.957935}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isss/Sanchez-ElezFHMKB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KurdahiBAM00, author = {Fadi J. Kurdahi and Nader Bagherzadeh and Peter Athanas and Jose L. Mu{\~{n}}oz}, title = {Guest Editors' Introduction: Configurable Computing}, journal = {{IEEE} Des. Test Comput.}, volume = {17}, number = {1}, pages = {17--19}, year = {2000}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/KurdahiBAM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SinghLLKBF00, author = {Hartej Singh and Ming{-}Hau Lee and Guangming Lu and Fadi J. Kurdahi and Nader Bagherzadeh and Eliseu M. Chaves Filho}, title = {\emph{MorphoSys}: An Integrated Reconfigurable System for Data-Parallel and Computation-Intensive Applications}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {5}, pages = {465--481}, year = {2000}, url = {https://doi.org/10.1109/12.859540}, doi = {10.1109/12.859540}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/SinghLLKBF00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LeeSLBKFA00, author = {Ming{-}Hau Lee and Hartej Singh and Guangming Lu and Nader Bagherzadeh and Fadi J. Kurdahi and Eliseu M. Chaves Filho and Vladimir Castro Alves}, title = {Design and Implementation of the MorphoSys Reconfigurable Computing Processor}, journal = {J. {VLSI} Signal Process.}, volume = {24}, number = {2-3}, pages = {147--164}, year = {2000}, url = {https://doi.org/10.1023/A:1008189221436}, doi = {10.1023/A:1008189221436}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LeeSLBKFA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghLFMLKB00, author = {Hartej Singh and Guangming Lu and Eliseu M. Chaves Filho and Rafael Maestre and Ming{-}Hau Lee and Fadi J. Kurdahi and Nader Bagherzadeh}, editor = {Giovanni De Micheli}, title = {MorphoSys: case study of a reconfigurable computing system targeting multimedia applications}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {573--578}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337583}, doi = {10.1145/337292.337583}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinghLFMLKB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/MaestreFHKBS00, author = {Rafael Maestre and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Fadi J. Kurdahi and Nader Bagherzadeh and Hartej Singh}, title = {Optimal vs. Heuristic Approaches to Context Scheduling for Multi-Context Reconfigurable Architectures}, booktitle = {8th {IEEE} Symposium on Field-Programmable Custom Computing Machines {(FCCM} 2000), 17-19 April 2000, Napa Valley, CA, USA, Proceedings}, pages = {297--298}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/FPGA.2000.903424}, doi = {10.1109/FPGA.2000.903424}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/MaestreFHKBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MaestreFHKBS00, author = {Rafael Maestre and Milagros Fern{\'{a}}ndez and Rom{\'{a}}n Hermida and Fadi J. Kurdahi and Nader Bagherzadeh and Hartej Singh}, title = {Optimal vs. Heuristic Approaches to Context Scheduling for Multi-Context Reconfigurable Architectures}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design: {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas, USA, September 17-20, 2000}, pages = {575--576}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCD.2000.878346}, doi = {10.1109/ICCD.2000.878346}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MaestreFHKBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/DiabAK00, author = {Hassan Diab and Emad Abdennour and Fadi J. Kurdahi}, title = {{FIR} filter mapping and performance analysis on MorphoSys}, booktitle = {Proceedings of the 2000 7th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2000, Jounieh, Lebanon, December 17-20, 2000}, pages = {99--102}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICECS.2000.911494}, doi = {10.1109/ICECS.2000.911494}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/DiabAK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/MaestreKFBS00, author = {Rafael Maestre and Fadi J. Kurdahi and Milagros Fern{\'{a}}ndez and Nader Bagherzadeh and Hartej Singh}, editor = {Fadi J. Kurdahi and Rom{\'{a}}n Hermida}, title = {Configuration Management in Multi-Context Reconfigurable Systems for Simultaneous Performance and Power Optimization}, booktitle = {Proceedings of the 13th International Symposium on System Synthesis, ISSS'00, Madrid, Spain, September 20-22, 2000}, pages = {107--114}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISSS.2000.874036}, doi = {10.1109/ISSS.2000.874036}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/MaestreKFBS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/isss/2000, editor = {Fadi J. Kurdahi and Rom{\'{a}}n Hermida}, title = {Proceedings of the 13th International Symposium on System Synthesis, ISSS'00, Madrid, Spain, September 20-22, 2000}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2000}, url = {https://ieeexplore.ieee.org/xpl/conhome/7021/proceeding}, isbn = {0-7695-0765-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isss/2000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/BloughKO99, author = {Douglas M. Blough and Fadi J. Kurdahi and Seong Yong Ohm}, title = {High-level synthesis of recoverable {VLSI} microarchitectures}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {7}, number = {4}, pages = {401--410}, year = {1999}, url = {https://doi.org/10.1109/92.805747}, doi = {10.1109/92.805747}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/BloughKO99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/XuK99, author = {Min Xu and Fadi J. Kurdahi}, title = {Accurate prediction of quality metrics for logic level designs targeted toward lookup-table-based FPGAs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {7}, number = {4}, pages = {411--418}, year = {1999}, url = {https://doi.org/10.1109/92.805748}, doi = {10.1109/92.805748}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/XuK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/KimKP99, author = {Jong Tae Kim and Fadi J. Kurdahi and Nohbyung Park}, title = {System-level Time-stationary Control Synthesis for Pipelined Data Paths}, journal = {{VLSI} Design}, volume = {9}, number = {2}, pages = {159--180}, year = {1999}, url = {https://doi.org/10.1155/1999/49179}, doi = {10.1155/1999/49179}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/KimKP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaestreKBSHF99, author = {Rafael Maestre and Fadi J. Kurdahi and Nader Bagherzadeh and Hartej Singh and Rom{\'{a}}n Hermida and Milagros Fern{\'{a}}ndez}, title = {Kernel Scheduling in Reconfigurable Computing}, booktitle = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March 1999, Munich, Germany}, pages = {90--96}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1999}, url = {https://doi.org/10.1109/DATE.1999.761102}, doi = {10.1109/DATE.1999.761102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaestreKBSHF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eh/LuSLBKFA99, author = {Guangming Lu and Hartej Singh and Ming{-}Hau Lee and Nader Bagherzadeh and Fadi J. Kurdahi and Eliseu M. Chaves Filho and Vladimir Castro Alves}, title = {The MorphoSys Dynamically Reconfigurable System-on-Chip}, booktitle = {1st {NASA} / DoD Workshop on Evolvable Hardware {(EH} '99), July 19-21, 1999, Pasadena, CA, {USA}}, pages = {152--160}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/EH.1999.785447}, doi = {10.1109/EH.1999.785447}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eh/LuSLBKFA99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/LuSLBKF99, author = {Guangming Lu and Hartej Singh and Ming{-}Hau Lee and Nader Bagherzadeh and Fadi J. Kurdahi and Eliseu M. Chaves Filho}, editor = {Patrick Amestoy and Philippe Berger and Michel J. Dayd{\'{e}} and Iain S. Duff and Val{\'{e}}rie Frayss{\'{e}} and Luc Giraud and Daniel Ruiz}, title = {The MorphoSys Parallel Reconfigurable System}, booktitle = {Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1685}, pages = {727--734}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-48311-X\_102}, doi = {10.1007/3-540-48311-X\_102}, timestamp = {Tue, 04 Jun 2019 14:36:07 +0200}, biburl = {https://dblp.org/rec/conf/europar/LuSLBKF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LuLSBKF99, author = {Guangming Lu and Ming{-}Hau Lee and Hartej Singh and Nader Bagherzadeh and Fadi J. Kurdahi and Eliseu M. Chaves Filho}, editor = {Jos{\'{e}} D. P. Rolim and Frank Mueller and Albert Y. Zomaya and Fikret Er{\c{c}}al and Stephan Olariu and Binoy Ravindran and Jan Gustafsson and Hiroaki Takada and Ronald A. Olsson and Laxmikant V. Kal{\'{e}} and Peter H. Beckman and Matthew Haines and Hossam A. ElGindy and Denis Caromel and Serge Chaumette and Geoffrey C. Fox and Yi Pan and Keqin Li and Tao Yang and G. Ghiola and Gianni Conte and Luigi V. Mancini and Dominique M{\'{e}}ry and Beverly A. Sanders and Devesh Bhatt and Viktor K. Prasanna}, title = {MorphoSys: {A} Reconfigurable Processor Trageted to High Performance Image Application}, booktitle = {Parallel and Distributed Processing, 11 IPPS/SPDP'99 Workshops Held in Conjunction with the 13th International Parallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing, San Juan, Puerto Rico, USA, April 12-16, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1586}, pages = {661--669}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/BFb0097951}, doi = {10.1007/BFB0097951}, timestamp = {Mon, 22 Mar 2021 14:03:05 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LuLSBKF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuK98, author = {Min Xu and Fadi J. Kurdahi}, editor = {Patrick M. Dewilde and Franz J. Rammig and Gerry Musgrave}, title = {Layout-Driven High Level Synthesis for {FPGA} Based Architectures}, booktitle = {1998 Design, Automation and Test in Europe {(DATE} '98), February 23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France}, pages = {446--450}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/DATE.1998.655896}, doi = {10.1109/DATE.1998.655896}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/StroobandtK98, author = {Dirk Stroobandt and Fadi J. Kurdahi}, title = {On the Characterization of Multi-Point Nets in Electronic Designs}, booktitle = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February 1998, Lafayette, LA, {USA}}, pages = {344}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/GLSV.1998.665303}, doi = {10.1109/GLSV.1998.665303}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/StroobandtK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/SinghLLKB98, author = {Hartej Singh and Ming{-}Hau Lee and Guangming Lu and Fadi J. Kurdahi and Nader Bagherzadeh}, title = {MorphoSys: {A} Reconfigurable Architecture for Multimedia Applications}, booktitle = {Proceedings of the 11th Annual Symposium on Integrated Circuits Design, {SBCCI} 1998, Rio de Janiero, Brazil, September 30 - October 2, 1998}, pages = {134--140}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.ieeecomputersociety.org/10.1109/SBCCI.1998.715427}, doi = {10.1109/SBCCI.1998.715427}, timestamp = {Fri, 27 May 2022 10:20:08 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/SinghLLKB98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/CarvalhoKN98, author = {A. Carvalho and Fadi J. Kurdahi and Sani R. Nassif}, title = {{IR} and Thermal Estimation Tools, with Applications to the {GUTS} 1GHz Processor}, booktitle = {Proceedings of the 11th Annual Symposium on Integrated Circuits Design, {SBCCI} 1998, Rio de Janiero, Brazil, September 30 - October 2, 1998}, pages = {236--239}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.ieeecomputersociety.org/10.1109/SBCCI.1998.715449}, doi = {10.1109/SBCCI.1998.715449}, timestamp = {Fri, 27 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/CarvalhoKN98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/OhmKD97, author = {Seong Yong Ohm and Fadi J. Kurdahi and Nikil D. Dutt}, title = {A unified lower bound estimation technique for high-level synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {5}, pages = {458--472}, year = {1997}, url = {https://doi.org/10.1109/43.631209}, doi = {10.1109/43.631209}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/OhmKD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BloughKO97, author = {Douglas M. Blough and Fadi J. Kurdahi and Seong Yong Ohm}, title = {Optimal algorithms for recovery point insertion in recoverable microarchitectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {16}, number = {9}, pages = {945--955}, year = {1997}, url = {https://doi.org/10.1109/43.658563}, doi = {10.1109/43.658563}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BloughKO97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/XuK97, author = {Min Xu and Fadi J. Kurdahi}, title = {Layout-driven {RTL} binding techniques for high-level synthesis using accurate estimators}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {2}, number = {4}, pages = {312--343}, year = {1997}, url = {https://doi.org/10.1145/268424.268425}, doi = {10.1145/268424.268425}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/XuK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/Kurdahi97, author = {Fadi J. Kurdahi}, title = {Linking Behavioral, Structural, and Physical Models of Hardware}, journal = {{VLSI} Design}, volume = {5}, number = {2}, pages = {i--ii}, year = {1997}, url = {https://doi.org/10.1155/1997/27279}, doi = {10.1155/1997/27279}, timestamp = {Sat, 05 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsi/Kurdahi97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/XuK97, author = {Min Xu and Fadi J. Kurdahi}, title = {ChipEst-FPGA: a tool for chip level area and timing estimation of lookup table based FPGAs for high level applications}, booktitle = {Proceedings of the {ASP-DAC} '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}, pages = {435--440}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ASPDAC.1997.600292}, doi = {10.1109/ASPDAC.1997.600292}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/XuK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuK97, author = {Min Xu and Fadi J. Kurdahi}, title = {{RTL} synthesis with physical and controller information}, booktitle = {European Design and Test Conference, ED{\&}TC '97, Paris, France, 17-20 March 1997}, pages = {299--303}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/EDTC.1997.582374}, doi = {10.1109/EDTC.1997.582374}, timestamp = {Fri, 20 May 2022 15:59:03 +0200}, biburl = {https://dblp.org/rec/conf/date/XuK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OhmBK96, author = {Seong Yong Ohm and Douglas M. Blough and Fadi J. Kurdahi}, title = {High-Level Synthesis of Recoverable Microarchitectures}, booktitle = {1996 European Design and Test Conference, ED{\&}TC 1996, Paris, France, March 11-14, 1996}, pages = {55--62}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/EDTC.1996.494128}, doi = {10.1109/EDTC.1996.494128}, timestamp = {Fri, 20 May 2022 15:52:30 +0200}, biburl = {https://dblp.org/rec/conf/date/OhmBK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuK96, author = {Min Xu and Fadi J. Kurdahi}, title = {Area and Timing Estimation for Lookup Table Based FPGAs}, booktitle = {1996 European Design and Test Conference, ED{\&}TC 1996, Paris, France, March 11-14, 1996}, pages = {151--159}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/EDTC.1996.494141}, doi = {10.1109/EDTC.1996.494141}, timestamp = {Fri, 20 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XuK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/XuK96, author = {Min Xu and Fadi J. Kurdahi}, title = {Layout-Driven {RTL} Binding Techniques for High-Level Synthesis}, booktitle = {Proceedings of the 9th International Symposium on System Synthesis, {ISSS} '96, San Diego, CA, USA, November 6-8, 1996}, pages = {33--38}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ISSS.1996.565874}, doi = {10.1109/ISSS.1996.565874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/XuK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SriramK96, author = {A. Sriram and Fadi J. Kurdahi}, title = {Behavioral Modeling of an {ATM} Switch using SpecCharts}, booktitle = {9th International Conference on {VLSI} Design {(VLSI} Design 1996), 3-6 January 1996, Bangalore, India}, pages = {19--22}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICVD.1996.489447}, doi = {10.1109/ICVD.1996.489447}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SriramK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OhmKJ95, author = {Seong Yong Ohm and Fadi J. Kurdahi and Chu Shik Jhon}, title = {An Optimal Scheduling Approach Using Lower Bound in High-Level Synthesis}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {78-D}, number = {3}, pages = {231--236}, year = {1995}, url = {http://search.ieice.org/bin/summary.php?id=e78-d\_3\_231}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/OhmKJ95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/BloughKO95, author = {Douglas M. Blough and Fadi J. Kurdahi and Seong Yong Ohm}, title = {Optimal Recovery Point Insertion for High-Level Synthesis of Recoverable Microarchitectures}, booktitle = {Digest of Papers: FTCS-25, The Twenty-Fifth International Symposium on Fault-Tolerant Computing, Pasadena, California, USA, June 27-30, 1995}, pages = {50--59}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/FTCS.1995.466979}, doi = {10.1109/FTCS.1995.466979}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ftcs/BloughKO95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/OhmKDX95, author = {Seong Yong Ohm and Fadi J. Kurdahi and Nikil D. Dutt and Min Xu}, editor = {Pierre G. Paulin and Farhad Mavaddat}, title = {A comprehensive estimation technique for high-level synthesis}, booktitle = {Proceedings of the 8th International Symposium on System Synthesis {(ISSS} 1995), September 13-15, 1995, Cannes, France}, pages = {122--127}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224486.224528}, doi = {10.1145/224486.224528}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/OhmKDX95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HagenKKR94, author = {Lars W. Hagen and Andrew B. Kahng and Fadi J. Kurdahi and Champaka Ramachandran}, title = {On the intrinsic Rent parameter and spectra-based partitioning methodologies}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {1}, pages = {27--37}, year = {1994}, url = {https://doi.org/10.1109/43.273752}, doi = {10.1109/43.273752}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HagenKKR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RamachandranK94, author = {Champaka Ramachandran and Fadi J. Kurdahi}, title = {Combined topological and functionality-based delay estimation using a layout-driven approach for high-level applications}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {12}, pages = {1450--1460}, year = {1994}, url = {https://doi.org/10.1109/43.331402}, doi = {10.1109/43.331402}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RamachandranK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/ParkK94, author = {Nohbyung Park and Fadi J. Kurdahi}, title = {Register-Transfer Synthesis of Pipelined Data Paths}, journal = {{VLSI} Design}, volume = {2}, number = {1}, pages = {17--32}, year = {1994}, url = {https://doi.org/10.1155/1994/43564}, doi = {10.1155/1994/43564}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsi/ParkK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/RamachandranK94, author = {Champaka Ramachandran and Fadi J. Kurdahi}, editor = {Robert Werner}, title = {Incorporating the Controller Effects During Register Transfer Level Synthesis}, booktitle = {{EDAC} - The European Conference on Design Automation, {ETC} - European Test Conference, {EUROASIC} - The European Event in {ASIC} Design, Proceedings, February 28 - March 3, 1994, Paris, France}, pages = {308--313}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/EDTC.1994.326916}, doi = {10.1109/EDTC.1994.326916}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/RamachandranK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChenTKHR94, author = {Yulin Chen and Wei Kang Tsai and Fadi J. Kurdahi and Tzong{-}Dar Her and Champaka Ramachandran}, title = {A performance driven logic synthesis system using delay estimator}, booktitle = {Fourth Great Lakes Symposium on Design Automation of High Performance {VLSI} Systems, {GLSV} '94, Notre Dame, IN, USA, March 4-5, 1994}, pages = {88--92}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/GLSV.1994.289990}, doi = {10.1109/GLSV.1994.289990}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChenTKHR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OhmKD94, author = {Seong Yong Ohm and Fadi J. Kurdahi and Nikil D. Dutt}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Comprehensive lower bound estimation from behavioral descriptions}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {182--187}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629763}, doi = {10.1109/ICCAD.1994.629763}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OhmKD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/RaoK94, author = {D. Sreenivasa Rao and Fadi J. Kurdahi}, editor = {Pierre G. Paulin}, title = {Controller and datapath trade-offs in hierarchical RT-level synthesis}, booktitle = {Proceedings of the 7th International Symposium on High Level Synthesis, HLSS'94, Niagra-on-the-Lake, ON, Canada, May 18-20, 1994}, pages = {152--157}, publisher = {{ACM}}, year = {1994}, url = {https://doi.org/10.1109/ISHLS.1994.302327}, doi = {10.1109/ISHLS.1994.302327}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isss/RaoK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/JhaRDK94, author = {Pradip K. Jha and Champaka Ramachandran and Nikil D. Dutt and Fadi J. Kurdahi}, title = {An Empirical Study on the Effects of Physical Design in High-Level Synthesis}, booktitle = {Proceedings of the Seventh International Conference on {VLSI} Design, {VLSI} Design 1994, Calcutta, India, January 5-8, 1994}, pages = {11--16}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICVD.1994.282638}, doi = {10.1109/ICVD.1994.282638}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/JhaRDK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RaoK93, author = {D. Sreenivasa Rao and Fadi J. Kurdahi}, title = {On clustering for maximal regularity extraction}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {12}, number = {8}, pages = {1198--1208}, year = {1993}, url = {https://doi.org/10.1109/43.238612}, doi = {10.1109/43.238612}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RaoK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KurdahiR93, author = {Fadi J. Kurdahi and Champaka Ramachandran}, title = {Evaluating layout area tradeoffs for high level applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {1}, number = {1}, pages = {46--55}, year = {1993}, url = {https://doi.org/10.1109/92.219906}, doi = {10.1109/92.219906}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KurdahiR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RaoK93, author = {D. Sreenivasa Rao and Fadi J. Kurdahi}, title = {Hierarchical design space exploration for a class of digital systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {1}, number = {3}, pages = {282--295}, year = {1993}, url = {https://doi.org/10.1109/92.238442}, doi = {10.1109/92.238442}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RaoK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChenTK93, author = {Yulin Chen and Wei Kang Tsai and Fadi J. Kurdahi}, title = {A logic synthesis system based on global dynamic extraction and flexible cost}, booktitle = {Third Great Lakes Symposium on Design Automation of High Performance {VLSI} Systems, Kalamazoo, MI, USA, March 5-6, 1993}, pages = {123--126}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/GLSV.1993.224465}, doi = {10.1109/GLSV.1993.224465}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChenTK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RaoK92, author = {D. Sreenivasa Rao and Fadi J. Kurdahi}, editor = {Daniel G. Schweikert}, title = {Partitioning by Regularity Extraction}, booktitle = {Proceedings of the 29th Design Automation Conference, Anaheim, California, USA, June 8-12, 1992}, pages = {235--238}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {http://portal.acm.org/citation.cfm?id=113938.149419}, timestamp = {Thu, 16 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RaoK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/RamachandranK92, author = {Champaka Ramachandran and Fadi J. Kurdahi}, editor = {Gerald Musgrave}, title = {Combined topological and functionality based delay estimation using a layout-driven approach for high level applications}, booktitle = {Proceedings of the conference on European design automation, {EURO-DAC} '92, Hamburg, Germany, September 7-10, 1992}, pages = {72--78}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {https://doi.org/10.1109/EURDAC.1992.246262}, doi = {10.1109/EURDAC.1992.246262}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/RamachandranK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/HagenKRK92, author = {Lars W. Hagen and Fadi J. Kurdahi and Champaka Ramachandran and Andrew B. Kahng}, editor = {Gerald Musgrave}, title = {On the intrinsic rent parameter and spectra-based partitioning methodologies}, booktitle = {Proceedings of the conference on European design automation, {EURO-DAC} '92, Hamburg, Germany, September 7-10, 1992}, pages = {202--208}, publisher = {{IEEE} Computer Society Press}, year = {1992}, url = {https://doi.org/10.1109/EURDAC.1992.246242}, doi = {10.1109/EURDAC.1992.246242}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/HagenKRK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RamachandranKGWC92, author = {Champaka Ramachandran and Fadi J. Kurdahi and Daniel Gajski and Allen C.{-}H. Wu and Viraphol Chaiyakul}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Accurate layout area and delay modeling for system level design}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {355--361}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279347}, doi = {10.1109/ICCAD.1992.279347}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RamachandranKGWC92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/KurdahiR91, author = {Fadi J. Kurdahi and Champaka Ramachandran}, editor = {Tony Ambler and Jochen A. G. Jess and Hugo De Man}, title = {{LAST:} a Layout Area and Shape function esTimator for high level applications}, booktitle = {Proceedings of the conference on European design automation, EURO-DAC'91, Amsterdam, The Netherlands, 1991}, pages = {351--355}, publisher = {{EEE} Computer Society}, year = {1991}, url = {http://dl.acm.org/citation.cfm?id=951589}, timestamp = {Tue, 17 Nov 2015 16:02:17 +0100}, biburl = {https://dblp.org/rec/conf/eurodac/KurdahiR91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimKP91, author = {James J. Kim and Fadi J. Kurdahi and Nohbyung Park}, title = {Automatic Synthesis of Time-Stationary Controllers for Pipelined Data Paths}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {30--33}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185183}, doi = {10.1109/ICCAD.1991.185183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimKP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KurdahiP89, author = {Fadi J. Kurdahi and Alice C. Parker}, title = {Techniques for area estimation of {VLSI} layouts}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {8}, number = {1}, pages = {81--92}, year = {1989}, url = {https://doi.org/10.1109/43.21821}, doi = {10.1109/43.21821}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KurdahiP89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ParkK89, author = {Nohbyung Park and Fadi J. Kurdahi}, title = {Module assignment and interconnect sharing in register-transfer synthesis of pipelined data paths}, booktitle = {1989 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}, pages = {16--19}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/ICCAD.1989.76895}, doi = {10.1109/ICCAD.1989.76895}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ParkK89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KurdahiP87, author = {Fadi J. Kurdahi and Alice C. Parker}, editor = {A. O'Neill and D. Thomas}, title = {{REAL:} a program for REgister ALlocation}, booktitle = {Proceedings of the 24th {ACM/IEEE} Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987}, pages = {210--215}, publisher = {{IEEE} Computer Society Press / {ACM}}, year = {1987}, url = {https://doi.org/10.1145/37888.37920}, doi = {10.1145/37888.37920}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KurdahiP87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KurdahiP86, author = {Fadi J. Kurdahi and Alice C. Parker}, editor = {Don Thomas}, title = {{PLEST:} a program for area estimation of {VLSI} integrated circuits}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {467--473}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318088}, doi = {10.1145/318013.318088}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KurdahiP86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkerKM84, author = {Alice C. Parker and Fadi J. Kurdahi and Mitch J. Mlinar}, editor = {Patricia H. Lambert and Hillel Ofek and Lawrence A. O'Neill and Pat O. Pistilli and Paul Losleben and J. Daniel Nash and Dennis W. Shaklee and Bryan T. Preas and Harvey N. Lerman}, title = {A general methodology for synthesis and verification of register-transfer designs}, booktitle = {Proceedings of the 21st Design Automation Conference, {DAC} '84, Albuquerque, New Mexico, June 25-27, 1984}, pages = {329--335}, publisher = {{ACM/IEEE}}, year = {1984}, url = {http://dl.acm.org/citation.cfm?id=800816}, timestamp = {Thu, 12 Aug 2021 08:58:02 +0200}, biburl = {https://dblp.org/rec/conf/dac/ParkerKM84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.