Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Sani R. Nassif
@inproceedings{DBLP:conf/vlsi/EggermannRANA23, author = {Gr{\'{e}}goire Eggermann and Marco Rios and Giovanni Ansaloni and Sani R. Nassif and David Atienza}, title = {A 16-bit Floating-Point Near-SRAM Architecture for Low-power Sparse Matrix-Vector Multiplication}, booktitle = {31st {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2023, Dubai, United Arab Emirates, October 16-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VLSI-SoC57769.2023.10321838}, doi = {10.1109/VLSI-SOC57769.2023.10321838}, timestamp = {Wed, 06 Dec 2023 13:14:06 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/EggermannRANA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ListlMSN19, author = {Alexandra Listl and Daniel Mueller{-}Gritschneder and Ulf Schlichtmann and Sani R. Nassif}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {{SRAM} Design Exploration with Integrated Application-Aware Aging Analysis}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1249--1252}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714787}, doi = {10.23919/DATE.2019.8714787}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ListlMSN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/NassifT15, author = {Sani R. Nassif and Martin A. Trefzer}, title = {Editorial}, journal = {{IET} Comput. Digit. Tech.}, volume = {9}, number = {4}, pages = {185--186}, year = {2015}, url = {https://doi.org/10.1049/iet-cdt.2015.0036}, doi = {10.1049/IET-CDT.2015.0036}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/NassifT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiMN15, author = {Di{-}An Li and Malgorzata Marek{-}Sadowska and Sani R. Nassif}, title = {A Method for Improving Power Grid Resilience to Electromigration-Caused via Failures}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {1}, pages = {118--130}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2301458}, doi = {10.1109/TVLSI.2014.2301458}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiMN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiMN15a, author = {Di{-}An Li and Malgorzata Marek{-}Sadowska and Sani R. Nassif}, title = {{T-VEMA:} {A} Temperature- and Variation-Aware Electromigration Power Grid Analysis Tool}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {10}, pages = {2327--2331}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2358678}, doi = {10.1109/TVLSI.2014.2358678}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiMN15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/GolanbariKTN15, author = {Mohammad Saber Golanbari and Saman Kiamehr and Mehdi Baradaran Tahoori and Sani R. Nassif}, title = {Analysis and optimization of flip-flops under process and runtime variations}, booktitle = {Sixteenth International Symposium on Quality Electronic Design, {ISQED} 2015, Santa Clara, CA, USA, March 2-4, 2015}, pages = {191--196}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISQED.2015.7085423}, doi = {10.1109/ISQED.2015.7085423}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/GolanbariKTN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HerkersdorfAEGGHKKKMNRRSSTTWWW14, author = {Andreas Herkersdorf and Hananeh Aliee and Michael Engel and Michael Gla{\ss} and Christina Gimmler{-}Dumont and J{\"{o}}rg Henkel and Veit Kleeberger and Michael A. Kochte and Johannes Maximilian K{\"{u}}hn and Daniel Mueller{-}Gritschneder and Sani R. Nassif and Holm Rauchfuss and Wolfgang Rosenstiel and Ulf Schlichtmann and Muhammad Shafique and Mehdi Baradaran Tahoori and J{\"{u}}rgen Teich and Norbert Wehn and Christian Weis and Hans{-}Joachim Wunderlich}, title = {Resilience Articulation Point {(RAP):} Cross-layer dependability modeling for nanometer system-on-chip resilience}, journal = {Microelectron. Reliab.}, volume = {54}, number = {6-7}, pages = {1066--1074}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2013.12.012}, doi = {10.1016/J.MICROREL.2013.12.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/HerkersdorfAEGGHKKKMNRRSSTTWWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NassifNHF14, author = {Sani R. Nassif and Gi{-}Joon Nam and Jerry Hayes and Sani Fakhouri}, title = {Applying {VLSI} {EDA} to energy distribution system design}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {91--96}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742872}, doi = {10.1109/ASPDAC.2014.6742872}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/NassifNHF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KiamehrOTN14, author = {Saman Kiamehr and Thomas H. Osiecki and Mehdi Baradaran Tahoori and Sani R. Nassif}, title = {Radiation-Induced Soft Error Analysis of SRAMs in {SOI} FinFET Technology: {A} Device to Circuit Approach}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {201:1--201:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593196}, doi = {10.1145/2593069.2593196}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KiamehrOTN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchlichtmannKAEGGHNW14, author = {Ulf Schlichtmann and Veit Kleeberger and Jacob A. Abraham and Adrian Evans and Christina Gimmler{-}Dumont and Michael Gla{\ss} and Andreas Herkersdorf and Sani R. Nassif and Norbert Wehn}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Connecting different worlds - Technology abstraction for reliability-aware design and Test}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--8}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.265}, doi = {10.7873/DATE.2014.265}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/SchlichtmannKAEGGHNW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangNCNH14, author = {Iris Hui{-}Ru Jiang and Gi{-}Joon Nam and Hua{-}Yu Chang and Sani R. Nassif and Jerry Hayes}, editor = {Yao{-}Wen Chang}, title = {Smart grid load balancing techniques via simultaneous switch/tie-line/wire configurations}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {382--388}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001380}, doi = {10.1109/ICCAD.2014.7001380}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/JiangNCNH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/NamN14, author = {Gi{-}Joon Nam and Sani R. Nassif}, editor = {Cliff C. N. Sze and Azadeh Davoodi}, title = {Opportunities in power distribution network system optimization: from {EDA} perspective}, booktitle = {International Symposium on Physical Design, ISPD'14, Petaluma, CA, USA, March 30 - April 02, 2014}, pages = {149--150}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2560519.2565875}, doi = {10.1145/2560519.2565875}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/NamN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/GuanMN14, author = {Zhong Guan and Malgorzata Marek{-}Sadowska and Sani R. Nassif}, title = {Statistical analysis of process variation induced {SRAM} electromigration degradation}, booktitle = {Fifteenth International Symposium on Quality Electronic Design, {ISQED} 2014, Santa Clara, CA, USA, March 3-5, 2014}, pages = {700--707}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISQED.2014.6783395}, doi = {10.1109/ISQED.2014.6783395}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/GuanMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KleebergerGWHMNSW13, author = {Veit Kleeberger and Christina Gimmler{-}Dumont and Christian Weis and Andreas Herkersdorf and Daniel Mueller{-}Gritschneder and Sani R. Nassif and Ulf Schlichtmann and Norbert Wehn}, title = {A Cross-Layer Technology-Based Study of How Memory Errors Impact System Resilience}, journal = {{IEEE} Micro}, volume = {33}, number = {4}, pages = {46--55}, year = {2013}, url = {https://doi.org/10.1109/MM.2013.67}, doi = {10.1109/MM.2013.67}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KleebergerGWHMNSW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GhaidaANYLG13, author = {Rani S. Ghaida and Kanak B. Agarwal and Sani R. Nassif and Xin Yuan and Lars Liebmann and Puneet Gupta}, title = {Layout Decomposition and Legalization for Double-Patterning Technology}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {2}, pages = {202--215}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2232710}, doi = {10.1109/TCAD.2012.2232710}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GhaidaANYLG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HenkelBDGNSTW13, author = {J{\"{o}}rg Henkel and Lars Bauer and Nikil D. Dutt and Puneet Gupta and Sani R. Nassif and Muhammad Shafique and Mehdi Baradaran Tahoori and Norbert Wehn}, title = {Reliable on-chip systems in the nano-era: lessons learnt and future trends}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {99:1--99:10}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488857}, doi = {10.1145/2463209.2488857}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HenkelBDGNSTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FirouziKTN13, author = {Farshad Firouzi and Saman Kiamehr and Mehdi Baradaran Tahoori and Sani R. Nassif}, editor = {Enrico Macii}, title = {Incorporating the impacts of workload-dependent runtime variations into timing analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1022--1025}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.213}, doi = {10.7873/DATE.2013.213}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/FirouziKTN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/WangCBMKNA13, author = {Xingsheng Wang and Binjie Cheng and Andrew R. Brown and Campbell Millar and Jente B. Kuang and Sani R. Nassif and Asen Asenov}, title = {Impact of statistical variability and charge trapping on 14 nm {SOI} FinFET {SRAM} cell stability}, booktitle = {Proceedings of the European Solid-State Device Research Conference, {ESSDERC} 2013, Bucharest, Romania, September 16-20, 2013}, pages = {234--237}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ESSDERC.2013.6818862}, doi = {10.1109/ESSDERC.2013.6818862}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/essderc/WangCBMKNA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/HiguchiSHRN13, author = {Yuma Higuchi and Kenichi Shinkai and Masanori Hashimoto and Rahul M. Rao and Sani R. Nassif}, title = {Extracting device-parameter variations using a single sensitivity-configurable ring oscillator}, booktitle = {18th {IEEE} European Test Symposium, {ETS} 2013, Avignon, France, May 27-30, 2013}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ETS.2013.6569366}, doi = {10.1109/ETS.2013.6569366}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/HiguchiSHRN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/OsieckiTGJNSS13, author = {Thomas H. Osiecki and Min{-}Yu Tsai and Anne E. Gattiker and Damir A. Jamsek and Sani R. Nassif and William Evan Speight and Cliff C. N. Sze}, editor = {Vassil Alexandrov and Michael Lees and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Hardware Acceleration of an Efficient and Accurate Proton Therapy Monte Carlo}, booktitle = {Proceedings of the International Conference on Computational Science, {ICCS} 2013, Barcelona, Spain, 5-7 June, 2013}, series = {Procedia Computer Science}, volume = {18}, pages = {2241--2250}, publisher = {Elsevier}, year = {2013}, url = {https://doi.org/10.1016/j.procs.2013.05.395}, doi = {10.1016/J.PROCS.2013.05.395}, timestamp = {Wed, 12 Jul 2023 15:16:18 +0200}, biburl = {https://dblp.org/rec/conf/iccS/OsieckiTGJNSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BanerjeeLN13, author = {Shayak Banerjee and Zhuo Li and Sani R. Nassif}, editor = {J{\"{o}}rg Henkel}, title = {{ICCAD-2013} {CAD} contest in mask optimization and benchmark suite}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {271--274}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691131}, doi = {10.1109/ICCAD.2013.6691131}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BanerjeeLN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengWBKRMNA13, author = {Binjie Cheng and Xingsheng Wang and Andrew R. Brown and Jente B. Kuang and Dave Reid and Campbell Millar and Sani R. Nassif and Asen Asenov}, title = {{SRAM} device and cell co-design considerations in a 14nm {SOI} FinFET technology}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2339--2342}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572347}, doi = {10.1109/ISCAS.2013.6572347}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengWBKRMNA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/GuanMN13, author = {Zhong Guan and Malgorzata Marek{-}Sadowska and Sani R. Nassif}, title = {{SRAM} bit-line electromigration mechanism and its prevention scheme}, booktitle = {International Symposium on Quality Electronic Design, {ISQED} 2013, Santa Clara, CA, USA, March 4-6, 2013}, pages = {286--293}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISQED.2013.6523624}, doi = {10.1109/ISQED.2013.6523624}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/GuanMN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/NassifNB13, author = {Sani R. Nassif and Gi{-}Joon Nam and Shayak Banerjee}, title = {Wire delay variability in nanoscale technology and its impact on physical design}, booktitle = {International Symposium on Quality Electronic Design, {ISQED} 2013, Santa Clara, CA, USA, March 4-6, 2013}, pages = {591--596}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISQED.2013.6523671}, doi = {10.1109/ISQED.2013.6523671}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/NassifNB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/NassifPA13, author = {Sani R. Nassif and Yale N. Patt and Magdy S. Abadir}, editor = {Martin Margala and Ricardo Augusto da Luz Reis and Alex Orailoglu and Luigi Carro and Lu{\'{\i}}s Miguel Silveira and H. Fatih Ugurdag}, title = {Keynote 1 - {VLSI} 2.0: R{\&}D Post Moore}, booktitle = {21st {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLSI-SoC.2013.6673229}, doi = {10.1109/VLSI-SOC.2013.6673229}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/NassifPA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/RamalingamSNNOP12, author = {Anand Ramalingam and Ashish Kumar Singh and Sani R. Nassif and Gi{-}Joon Nam and Michael Orshansky and David Z. Pan}, title = {An accurate sparse-matrix based framework for statistical static timing analysis}, journal = {Integr.}, volume = {45}, number = {4}, pages = {365--375}, year = {2012}, url = {https://doi.org/10.1016/j.vlsi.2011.03.002}, doi = {10.1016/J.VLSI.2011.03.002}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/RamalingamSNNOP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ReddiPNB12, author = {Vijay Janapa Reddi and David Z. Pan and Sani R. Nassif and Keith A. Bowman}, title = {Robust and resilient designs from the bottom-up: Technology, CAD, circuit, and system issues}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {7--16}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6165064}, doi = {10.1109/ASPDAC.2012.6165064}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ReddiPNB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KanjJLHN12, author = {Rouwaida Kanj and Rajiv V. Joshi and Zhuo Li and Jerry Hayes and Sani R. Nassif}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Yield estimation via multi-cones}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1107--1112}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228562}, doi = {10.1145/2228360.2228562}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KanjJLHN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiBLN12, author = {Zhuo Li and Raju Balasubramanian and Frank Liu and Sani R. Nassif}, editor = {Alan J. Hu}, title = {2012 {TAU} power grid simulation contest: Benchmark suite and results}, booktitle = {2012 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012}, pages = {643--646}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2429384.2429523}, doi = {10.1145/2429384.2429523}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiBLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicdt/GhaidaANYLG12, author = {Rani S. Ghaida and Kanak B. Agarwal and Sani R. Nassif and Xin Yuan and Lars W. Liebmann and Puneet Gupta}, title = {O(n) layout-coloring for multiple-patterning lithography and conflict-removal using compaction}, booktitle = {{IEEE} International Conference on {IC} Design {\&} Technology, {ICICDT} 2012, Austin, TX, USA, May 30 - June 1, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICICDT.2012.6232871}, doi = {10.1109/ICICDT.2012.6232871}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icicdt/GhaidaANYLG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/BanerjeeAN12, author = {Shayak Banerjee and Kanak B. Agarwal and Sani R. Nassif}, editor = {Jiang Hu and Cheng{-}Kok Koh}, title = {Design-aware lithography}, booktitle = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA, March 25-28, 2012}, pages = {3--8}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2160916.2160920}, doi = {10.1145/2160916.2160920}, timestamp = {Tue, 06 Nov 2018 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/ispd/BanerjeeAN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/JangGNA12, author = {Eun Jung Jang and Anne Gattiker and Sani R. Nassif and Jacob A. Abraham}, title = {An oscillation-based test structure for timing information extraction}, booktitle = {30th {IEEE} {VLSI} Test Symposium, {VTS} 2012, Maui, Hawaii, USA, 23-26 April 2012}, pages = {74--79}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/VTS.2012.6231083}, doi = {10.1109/VTS.2012.6231083}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vts/JangGNA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YeDLN11, author = {Xiaoji Ye and Wei Dong and Peng Li and Sani R. Nassif}, title = {Hierarchical Multialgorithm Parallel Circuit Simulation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {1}, pages = {45--58}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2010.2067870}, doi = {10.1109/TCAD.2010.2067870}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YeDLN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HsuCN11, author = {Chin{-}Hsiung Hsu and Yao{-}Wen Chang and Sani R. Nassif}, title = {Simultaneous Layout Migration and Decomposition for Double Patterning Technology}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {2}, pages = {284--294}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2010.2079990}, doi = {10.1109/TCAD.2010.2079990}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HsuCN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KuangSGWFSNN11, author = {Jente B. Kuang and Jeremy D. Schaub and Fadi H. Gebara and Dieter F. Wendel and Thomas Fr{\"{o}}hnel and Sudesh Saroop and Sani R. Nassif and Kevin J. Nowka}, title = {The Design and Characterization of a Half-Volt 32 nm Dual-Read 6T {SRAM}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {9}, pages = {2010--2016}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2011.2162459}, doi = {10.1109/TCSI.2011.2162459}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KuangSGWFSNN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YeLCNC11, author = {Yun Ye and Frank Liu and Min Chen and Sani R. Nassif and Yu Cao}, title = {Statistical Modeling and Simulation of Threshold Variation Under Random Dopant Fluctuations and Line-Edge Roughness}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {6}, pages = {987--996}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2043694}, doi = {10.1109/TVLSI.2010.2043694}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/YeLCNC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/KanjJN11, author = {Rouwaida Kanj and Rajiv V. Joshi and Sani R. Nassif}, title = {The Impact of Statistical Leakage Models on Design Yield Estimation}, journal = {{VLSI} Design}, volume = {2011}, pages = {471903:1--471903:12}, year = {2011}, url = {https://doi.org/10.1155/2011/471903}, doi = {10.1155/2011/471903}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsi/KanjJN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/JangCGNA11, author = {Eun Jung Jang and Jaeyong Chung and Anne E. Gattiker and Sani R. Nassif and Jacob A. Abraham}, title = {Post-Silicon Timing Validation Method Using Path Delay Measurements}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {232--237}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.32}, doi = {10.1109/ATS.2011.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/JangCGNA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/BanerjeeAN11, author = {Shayak Banerjee and Kanak B. Agarwal and Sani R. Nassif}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {Electrically-driven retargeting for nanoscale layouts}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055404}, doi = {10.1109/CICC.2011.6055404}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/BanerjeeAN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GhaidaANYLG11, author = {Rani S. Ghaida and Kanak B. Agarwal and Sani R. Nassif and Xin Yuan and Lars Liebmann and Puneet Gupta}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {A framework for double patterning-enabled design}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {14--20}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105299}, doi = {10.1109/ICCAD.2011.6105299}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GhaidaANYLG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KanjLJASWN11, author = {Rouwaida Kanj and Tong Li and Rajiv V. Joshi and Kanak Agarwal and Ali Sadigh and David Winston and Sani R. Nassif}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Accelerated statistical simulation via on-demand Hermite spline interpolations}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {353--360}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105354}, doi = {10.1109/ICCAD.2011.6105354}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KanjLJASWN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiBLN11, author = {Zhuo Li and Raju Balasubramanian and Frank Liu and Sani R. Nassif}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {2011 {TAU} power grid simulation contest: Benchmark suite and results}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {478--481}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105371}, doi = {10.1109/ICCAD.2011.6105371}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiBLN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MajzoobiGKN11, author = {Mehrdad Majzoobi and Golsa Ghiaasi and Farinaz Koushanfar and Sani R. Nassif}, title = {Ultra-low power current-based {PUF}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2071--2074}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938005}, doi = {10.1109/ISCAS.2011.5938005}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MajzoobiGKN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/BanerjeeANCLO11, author = {Shayak Banerjee and Kanak B. Agarwal and Sani R. Nassif and James A. Culp and Lars Liebmann and Michael Orshansky}, title = {Coupling timing objectives with optical proximity correction for improved timing yield}, booktitle = {Proceedings of the 12th International Symposium on Quality Electronic Design, {ISQED} 2011, Santa Clara, California, USA, 14-16 March 2011}, pages = {97--102}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISQED.2011.5770710}, doi = {10.1109/ISQED.2011.5770710}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/BanerjeeANCLO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/JangGNA11, author = {Eun Jung Jang and Anne E. Gattiker and Sani R. Nassif and Jacob A. Abraham}, title = {Efficient and product-representative timing model validation}, booktitle = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana Point, California, {USA}}, pages = {90--95}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/VTS.2011.5783761}, doi = {10.1109/VTS.2011.5783761}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vts/JangGNA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Nassif10, author = {Sani R. Nassif}, title = {'Tis the gift to be simple}, journal = {{IEEE} Des. Test Comput.}, volume = {27}, number = {2}, pages = {84--86}, year = {2010}, url = {https://doi.org/10.1109/MDT.2010.32}, doi = {10.1109/MDT.2010.32}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/Nassif10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SinghalBSWLNC10, author = {Ritu Singhal and Asha Balijepalli and Anupama R. Subramaniam and Chi{-}Chao Wang and Frank Liu and Sani R. Nassif and Yu Cao}, title = {Modeling and Analysis of the Nonrectangular Gate Effect for Postlithography Circuit Simulation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {4}, pages = {666--670}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2013630}, doi = {10.1109/TVLSI.2009.2013630}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/SinghalBSWLNC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/Nassif10, author = {Sani R. Nassif}, editor = {Fran{\c{c}}ois Charot and Frank Hannig and J{\"{u}}rgen Teich and Christophe Wolinski}, title = {The light at the end of the {CMOS} tunnel}, booktitle = {21st {IEEE} International Conference on Application-specific Systems Architectures and Processors, {ASAP} 2010, Rennes, France, 7-9 July 2010}, pages = {4--9}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ASAP.2010.5540756}, doi = {10.1109/ASAP.2010.5540756}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/Nassif10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KuangSGWSNFMDSLRPNN10, author = {Jente B. Kuang and Jeremy D. Schaub and Fadi H. Gebara and Dieter F. Wendel and Sudesh Saroop and Tuyet Nguyen and Thomas Fr{\"{o}}hnel and Antje M{\"{u}}ller and Christopher M. Durham and Rolf Sautter and Bryan Lloyd and Bryan J. Robbins and Juergen Pille and Sani R. Nassif and Kevin J. Nowka}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {A 32nm 0.5V-supply dual-read 6T {SRAM}}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617630}, doi = {10.1109/CICC.2010.5617630}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KuangSGWSNFMDSLRPNN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NassifMC10, author = {Sani R. Nassif and Nikil Mehta and Yu Cao}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {A resilience roadmap}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1011--1016}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5456958}, doi = {10.1109/DATE.2010.5456958}, timestamp = {Thu, 15 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NassifMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BanerjeeASNO10, author = {Shayak Banerjee and Kanak B. Agarwal and Chin Ngai Sze and Sani R. Nassif and Michael Orshansky}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {A methodology for propagating design tolerances to shape tolerances for use in manufacturing}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {1273--1278}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457002}, doi = {10.1109/DATE.2010.5457002}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BanerjeeASNO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HsuCN10, author = {Chin{-}Hsiung Hsu and Yao{-}Wen Chang and Sani R. Nassif}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {Template-mask design methodology for double patterning technology}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {107--111}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5654288}, doi = {10.1109/ICCAD.2010.5654288}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HsuCN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KanjJN10, author = {Rouwaida Kanj and Rajiv V. Joshi and Sani R. Nassif}, editor = {Vojin G. Oklobdzija and Barry Pangle and Naehyuck Chang and Naresh R. Shanbhag and Chris H. Kim}, title = {Statistical leakage modeling for accurate yield analysis: the {CDF} matching method and its alternatives}, booktitle = {Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010}, pages = {337--342}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1840845.1840915}, doi = {10.1145/1840845.1840915}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KanjJN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/NassifN10, author = {Sani R. Nassif and Kevin J. Nowka}, editor = {Prashant Saxena and Yao{-}Wen Chang}, title = {Physical design challenges beyond the 22nm node}, booktitle = {Proceedings of the 2010 International Symposium on Physical Design, {ISPD} 2010, San Francisco, California, USA, March 14-17, 2010}, pages = {13--14}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1735023.1735029}, doi = {10.1145/1735023.1735029}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/NassifN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RedaN09, author = {Sherief Reda and Sani R. Nassif}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Analyzing the impact of process variations on parametric measurements: Novel models and applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {375--380}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090692}, doi = {10.1109/DATE.2009.5090692}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/RedaN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KanjJAWN09, author = {Rouwaida Kanj and Rajiv V. Joshi and Chad Adams and James D. Warnock and Sani R. Nassif}, editor = {Jaijeet S. Roychowdhury}, title = {An elegant hardware-corroborated statistical repair and test methodology for conquering aging effects}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {497--504}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687494}, doi = {10.1145/1687399.1687494}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KanjJAWN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HsuCN09, author = {Chin{-}Hsiung Hsu and Yao{-}Wen Chang and Sani R. Nassif}, editor = {Jaijeet S. Roychowdhury}, title = {Simultaneous layout migration and decomposition for double patterning technology}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {595--600}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687510}, doi = {10.1145/1687399.1687510}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HsuCN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BansalSKMLASKCNHD09, author = {Aditya Bansal and Rama N. Singh and Rouwaida Kanj and Saibal Mukhopadhyay and Jin{-}Fuw Lee and Emrah Acar and Amith Singhee and Keunwoo Kim and Ching{-}Te Chuang and Sani R. Nassif and Fook{-}Luen Heng and Koushik K. Das}, editor = {Jaijeet S. Roychowdhury}, title = {Yield estimation of {SRAM} circuits using "Virtual {SRAM} Fab"}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {631--636}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687516}, doi = {10.1145/1687399.1687516}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BansalSKMLASKCNHD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KanjJKKMRNN09, author = {Rouwaida Kanj and Rajiv V. Joshi and Jente B. Kuang and J. Kim and Mesut Meterelliyoz and William R. Reohr and Sani R. Nassif and Kevin J. Nowka}, title = {Statistical yield analysis of silicon-on-insulator embedded {DRAM}}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {190--194}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810292}, doi = {10.1109/ISQED.2009.4810292}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KanjJKKMRNN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZhouKALJNS09, author = {Nancy Ying Zhou and Rouwaida Kanj and Kanak Agarwal and Zhuo Li and Rajiv V. Joshi and Sani R. Nassif and Weiping Shi}, title = {The impact of {BEOL} lithography effects on the {SRAM} cell performance and yield}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {607--612}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810363}, doi = {10.1109/ISQED.2009.4810363}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ZhouKALJNS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0029052, author = {Michael Orshansky and Sani R. Nassif and Duane S. Boning}, title = {Design for Manufacturability and Statistical Design - {A} Constructive Approach}, series = {Series on integrated circuits and systems}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-0-387-69011-7}, doi = {10.1007/978-0-387-69011-7}, isbn = {978-0-387-30928-6}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0029052.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AgarwalN08, author = {Kanak Agarwal and Sani R. Nassif}, title = {The Impact of Random Device Variation on {SRAM} Cell Stability in Sub-90-nm {CMOS} Technologies}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {1}, pages = {86--97}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2007.909792}, doi = {10.1109/TVLSI.2007.909792}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/AgarwalN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Nassif08, author = {Sani R. Nassif}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Technology modeling and characterization beyond the 45nm node}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {219}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483944}, doi = {10.1109/ASPDAC.2008.4483944}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Nassif08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Nassif08a, author = {Sani R. Nassif}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Power grid analysis benchmarks}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {376--381}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483978}, doi = {10.1109/ASPDAC.2008.4483978}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Nassif08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TayadeNA08, author = {Rajeshwary Tayade and Sani R. Nassif and Jacob A. Abraham}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Analytical model for the impact of multiple input switching noise on timing}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {514--517}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484005}, doi = {10.1109/ASPDAC.2008.4484005}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TayadeNA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/Nassif08, author = {Sani R. Nassif}, title = {Process variability at the 65nm node and beyond}, booktitle = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference, {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September 21-24, 2008}, pages = {1--8}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CICC.2008.4672005}, doi = {10.1109/CICC.2008.4672005}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/Nassif08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/NowkaNA08, author = {Kevin J. Nowka and Sani R. Nassif and Kanak Agarwal}, title = {Characterization and design for variability and reliability}, booktitle = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference, {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September 21-24, 2008}, pages = {341--346}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CICC.2008.4672092}, doi = {10.1109/CICC.2008.4672092}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/NowkaNA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YeLNC08, author = {Yun Ye and Frank Liu and Sani R. Nassif and Yu Cao}, editor = {Limor Fix}, title = {Statistical modeling and simulation of threshold variation under dopant fluctuations and line-edge roughness}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {900--905}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391698}, doi = {10.1145/1391469.1391698}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YeLNC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarculescuN08, author = {Diana Marculescu and Sani R. Nassif}, editor = {Donatella Sciuto}, title = {Design Variability: Challenges and Solutions at Microarchitecture-Architecture Level}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484639}, doi = {10.1109/DATE.2008.4484639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarculescuN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YeDLN08, author = {Xiaoji Ye and Wei Dong and Peng Li and Sani R. Nassif}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{MAPS:} multi-algorithm parallel circuit simulation}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681554}, doi = {10.1109/ICCAD.2008.4681554}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YeDLN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KanjJLKNZSN08, author = {Rouwaida Kanj and Rajiv V. Joshi and Zhuo Li and Jente B. Kuang and Hung C. Ngo and Nancy Ying Zhou and Weiping Shi and Sani R. Nassif}, editor = {Vijaykrishnan Narayanan and C. P. Ravikumar and J{\"{o}}rg Henkel and Ali Keshavarzi and Vojin G. Oklobdzija and Barry M. Pangrle}, title = {{SRAM} methodology for yield and power efficiency: per-element selectable supplies and memory reconfiguration schemes}, booktitle = {Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008, Bangalore, India, August 11-13, 2008}, pages = {87--92}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1393921.1393946}, doi = {10.1145/1393921.1393946}, timestamp = {Wed, 04 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KanjJLKNZSN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KanjJKWN08, author = {Rouwaida Kanj and Rajiv V. Joshi and Keunwoo Kim and Richard Williams and Sani R. Nassif}, title = {Statistical Evaluation of Split Gate Opportunities for Improved 8T/6T Column-Decoupled {SRAM} Cell Yield}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {702--707}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479823}, doi = {10.1109/ISQED.2008.4479823}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KanjJKWN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/WangANNM08, author = {Victoria Wang and Kanak Agarwal and Sani R. Nassif and Kevin J. Nowka and Dejan Markovic}, title = {A Design Model for Random Process Variability}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {734--737}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479829}, doi = {10.1109/ISQED.2008.4479829}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/WangANNM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KanjLJLN08, author = {Rouwaida Kanj and Zhuo Li and Rajiv V. Joshi and Frank Liu and Sani R. Nassif}, title = {A Root-Finding Method for Assessing {SRAM} Stability}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {804--809}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479841}, doi = {10.1109/ISQED.2008.4479841}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/KanjLJLN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/Nassif08, author = {Sani R. Nassif}, editor = {Lars Svensson and Jos{\'{e}} Monteiro}, title = {Model to Hardware Matching for nm Scale Technologies}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 18th International Workshop, {PATMOS} 2008, Lisbon, Portugal, September 10-12, 2008. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5349}, pages = {459}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-95948-9\_47}, doi = {10.1007/978-3-540-95948-9\_47}, timestamp = {Wed, 23 Feb 2022 16:05:31 +0100}, biburl = {https://dblp.org/rec/conf/patmos/Nassif08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KurdahiDEN08, author = {Fadi J. Kurdahi and Nikil D. Dutt and Ahmed M. Eltawil and Sani R. Nassif}, title = {Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips}, booktitle = {21st International Conference on {VLSI} Design {(VLSI} Design 2008), 4-8 January 2008, Hyderabad, India}, pages = {14--15}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VLSI.2008.135}, doi = {10.1109/VLSI.2008.135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KurdahiDEN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2008, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4670335/proceeding}, isbn = {978-1-4244-2820-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/crc/SuN08, author = {Haihua Su and Sani R. Nassif}, editor = {Charles J. Alpert and Dinesh P. Mehta and Sachin S. Sapatnekar}, title = {Power Grid Design}, booktitle = {Handbook of Algorithms for Physical Design Automation}, publisher = {Auerbach Publications}, year = {2008}, url = {https://doi.org/10.1201/9781420013481.ch44}, doi = {10.1201/9781420013481.CH44}, timestamp = {Mon, 26 Oct 2020 09:04:39 +0100}, biburl = {https://dblp.org/rec/reference/crc/SuN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RamalingamSNOP07, author = {Anand Ramalingam and Ashish Kumar Singh and Sani R. Nassif and Michael Orshansky and David Z. Pan}, title = {Accurate Waveform Modeling using Singular Value Decomposition with Applications to Timing Analysis}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {148--153}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278517}, doi = {10.1145/1278480.1278517}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RamalingamSNOP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgarwalN07, author = {Kanak Agarwal and Sani R. Nassif}, title = {Characterizing Process Variation in Nanometer {CMOS}}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {396--399}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278582}, doi = {10.1145/1278480.1278582}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgarwalN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghalBSLNC07, author = {Ritu Singhal and Asha Balijepalli and Anupama R. Subramaniam and Frank Liu and Sani R. Nassif and Yu Cao}, title = {Modeling and Analysis of Non-Rectangular Gate for Post-Lithography Circuit Simulation}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {823--828}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278685}, doi = {10.1145/1278480.1278685}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SinghalBSLNC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/Nassif07, author = {Sani R. Nassif}, editor = {Doris Schmitt{-}Landsiedel and Tobias Noll}, title = {Model to Hardware matching for nano-meter scale technologies}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich, Germany, 11-13 September 2007}, pages = {28--31}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ESSCIRC.2007.4430243}, doi = {10.1109/ESSCIRC.2007.4430243}, timestamp = {Mon, 18 Oct 2021 17:08:49 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/Nassif07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ZhaoCLAANN07, author = {Wei Zhao and Yu Cao and Frank Liu and Kanak Agarwal and Dhruva Acharyya and Sani R. Nassif and Kevin J. Nowka}, editor = {Doris Schmitt{-}Landsiedel and Tobias Noll}, title = {Rigorous extraction of process variations for 65nm {CMOS} design}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich, Germany, 11-13 September 2007}, pages = {89--92}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ESSCIRC.2007.4430253}, doi = {10.1109/ESSCIRC.2007.4430253}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ZhaoCLAANN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/TayadeKNOA07, author = {Rajeshwary Tayade and Vijay Kiran Kalyanam and Sani R. Nassif and Michael Orshansky and Jacob A. Abraham}, editor = {Hai Zhou and Enrico Macii and Zhiyuan Yan and Yehia Massoud}, title = {Estimating path delay distribution considering coupling noise}, booktitle = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}, pages = {61--66}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1228784.1228804}, doi = {10.1145/1228784.1228804}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/TayadeKNOA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KanjJSKANMN07, author = {Rouwaida Kanj and Rajiv V. Joshi and Jayakumaran Sivagnaname and Jente B. Kuang and Dhruva Acharyya and Tuyet Nguyen and Chandler McDowell and Sani R. Nassif}, title = {Gate Leakage Effects on Yield and Design Considerations of {PD/SOI} {SRAM} Designs}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {33--40}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.83}, doi = {10.1109/ISQED.2007.83}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KanjJSKANMN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0710-4654, author = {Peng Li and Frank Liu and Xin Li and Lawrence T. Pileggi and Sani R. Nassif}, title = {Modeling Interconnect Variability Using Efficient Parametric Model Order Reduction}, journal = {CoRR}, volume = {abs/0710.4654}, year = {2007}, url = {http://arxiv.org/abs/0710.4654}, eprinttype = {arXiv}, eprint = {0710.4654}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0710-4654.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MakN06, author = {T. M. Mak and Sani R. Nassif}, title = {Guest Editors' Introduction: Process Variation and Stochastic Design and Test}, journal = {{IEEE} Des. Test Comput.}, volume = {23}, number = {6}, pages = {436--437}, year = {2006}, url = {https://doi.org/10.1109/MDT.2006.147}, doi = {10.1109/MDT.2006.147}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MakN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/BernsteinFGHJNNPR06, author = {Kerry Bernstein and David J. Frank and Anne E. Gattiker and Wilfried Haensch and Brian L. Ji and Sani R. Nassif and Edward J. Nowak and Dale J. Pearson and Norman J. Rohrer}, title = {High-performance {CMOS} variability in the 65-nm regime and beyond}, journal = {{IBM} J. Res. Dev.}, volume = {50}, number = {4-5}, pages = {433--450}, year = {2006}, url = {https://doi.org/10.1147/rd.504.0433}, doi = {10.1147/RD.504.0433}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/BernsteinFGHJNNPR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/AgrawalLN06, author = {Bhavna Agrawal and Frank Liu and Sani R. Nassif}, title = {Circuit Optimization Using Scale Based Sensitivities}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {635--638}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CICC.2006.320839}, doi = {10.1109/CICC.2006.320839}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/AgrawalLN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgarwalN06, author = {Kanak Agarwal and Sani R. Nassif}, editor = {Ellen Sentovich}, title = {Statistical analysis of {SRAM} cell stability}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {57--62}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146928}, doi = {10.1145/1146909.1146928}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgarwalN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KanjJN06, author = {Rouwaida Kanj and Rajiv V. Joshi and Sani R. Nassif}, editor = {Ellen Sentovich}, title = {Mixture importance sampling and its application to the analysis of {SRAM} designs in the presence of rare failure events}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {69--72}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146930}, doi = {10.1145/1146909.1146930}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KanjJN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NassifPRSBR06, author = {Sani R. Nassif and Vijay Pitchumani and Norma Rodriguez and Dennis Sylvester and Clive Bittlestone and Riko Radojcic}, editor = {Ellen Sentovich}, title = {Variation-aware analysis: savior of the nanometer era?}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {411--412}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147018}, doi = {10.1145/1146909.1147018}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/NassifPRSBR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RamalingamNSONP06, author = {Anand Ramalingam and Gi{-}Joon Nam and Ashish Kumar Singh and Michael Orshansky and Sani R. Nassif and David Z. Pan}, editor = {Soha Hassoun}, title = {An accurate sparse matrix based framework for statistical static timing analysis}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {231--236}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233547}, doi = {10.1145/1233501.1233547}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RamalingamNSONP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangANO06, author = {Bin Zhang and Ari Arapostathis and Sani R. Nassif and Michael Orshansky}, editor = {Soha Hassoun}, title = {Analytical modeling of {SRAM} dynamic stability}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {315--322}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233564}, doi = {10.1145/1233501.1233564}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangANO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AcarAN06, author = {Emrah Acar and Kanak Agarwal and Sani R. Nassif}, title = {Characterization of total chip leakage using inverse (reciprocal) gamma distribution}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693263}, doi = {10.1109/ISCAS.2006.1693263}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AcarAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NassifAA06, author = {Sani R. Nassif and Kanak Agarwal and Emrah Acar}, title = {Methods for estimating decoupling capacitance of nonswitching circuit blocks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693505}, doi = {10.1109/ISCAS.2006.1693505}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NassifAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Nassif06, author = {Sani R. Nassif}, editor = {Wolfgang Nebel and Mircea R. Stan and Anand Raghunathan and J{\"{o}}rg Henkel and Diana Marculescu}, title = {Model to hardware matching: for nano-meter scale technologies}, booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}, pages = {203--206}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1165573.1165621}, doi = {10.1145/1165573.1165621}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Nassif06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KurdahiEPKN06, author = {Fadi J. Kurdahi and Ahmed M. Eltawil and Young{-}Hwan Park and Rouwaida Kanj and Sani R. Nassif}, title = {System-Level {SRAM} Yield Enhancement}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {179--184}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.130}, doi = {10.1109/ISQED.2006.130}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KurdahiEPKN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ElakkumananKNSKN06, author = {Praveen Elakkumanan and Jente B. Kuang and Kevin J. Nowka and Ramalingam Sridhar and Rouwaida Kanj and Sani R. Nassif}, title = {{SRAM} Local Bit Line Access Failure Analyses}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {204--209}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.120}, doi = {10.1109/ISQED.2006.120}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ElakkumananKNSKN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/RamalingamPLN06, author = {Anand Ramalingam and David Z. Pan and Frank Liu and Sani R. Nassif}, title = {Accurate Thermal Analysis Considering Nonlinear Thermal Conductivity}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {644--649}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.20}, doi = {10.1109/ISQED.2006.20}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/RamalingamPLN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/AcarDN05, author = {Emrah Acar and Anirudh Devgan and Sani R. Nassif}, title = {Leakage and Leakage Sensitivity Computation for Combinational Circuits}, journal = {J. Low Power Electron.}, volume = {1}, number = {2}, pages = {172--181}, year = {2005}, url = {https://doi.org/10.1166/jolpe.2005.026}, doi = {10.1166/JOLPE.2005.026}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/AcarDN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/QianNS05, author = {Haifeng Qian and Sani R. Nassif and Sachin S. Sapatnekar}, title = {Early-stage power grid analysis for uncertain working modes}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {5}, pages = {676--682}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2005.846370}, doi = {10.1109/TCAD.2005.846370}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/QianNS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/QianNS05a, author = {Haifeng Qian and Sani R. Nassif and Sachin S. Sapatnekar}, title = {Power grid analysis using random walks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {8}, pages = {1204--1224}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2005.850863}, doi = {10.1109/TCAD.2005.850863}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/QianNS05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NassifZMMPV05, author = {Sani R. Nassif and Paul S. Zuchowski and Claude Moughanni and Mohamed Moosa and Stephen D. Posluszny and Ward Vercruysse}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {The Titanic: what went wrong!}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {349--350}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065671}, doi = {10.1145/1065579.1065671}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NassifZMMPV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiLLPN05, author = {Peng Li and Frank Liu and Xin Li and Lawrence T. Pileggi and Sani R. Nassif}, title = {Modeling Interconnect Variability Using Efficient Parametric Model Order Reduction}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {958--963}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.213}, doi = {10.1109/DATE.2005.213}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiLLPN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/JiangMN05, author = {Hailin Jiang and Malgorzata Marek{-}Sadowska and Sani R. Nassif}, title = {Benefits and Costs of Power-Gating Technique}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {559--566}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.34}, doi = {10.1109/ICCD.2005.34}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/JiangMN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RaoASKBN05, author = {Rahul M. Rao and Kanak Agarwal and Dennis Sylvester and Himanshu Kaul and Richard B. Brown and Sani R. Nassif}, title = {Power-aware global signaling strategies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {604--607}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464660}, doi = {10.1109/ISCAS.2005.1464660}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RaoASKBN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/RaoBSAN05, author = {Rajeev R. Rao and David T. Blaauw and Dennis Sylvester and Charles J. Alpert and Sani R. Nassif}, editor = {Patrick Groeneveld and Louis Scheffer}, title = {An efficient surface-based low-power buffer insertion algorithm}, booktitle = {Proceedings of the 2005 International Symposium on Physical Design, {ISPD} 2005, San Francisco, California, USA, April 3-6, 2005}, pages = {86--93}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1055137.1055155}, doi = {10.1145/1055137.1055155}, timestamp = {Tue, 06 Nov 2018 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/ispd/RaoBSAN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/NassifL05, author = {Sani R. Nassif and Zhuo Li}, title = {A More Effective C\({}_{\mbox{EFF}}\)}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {648--653}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.10}, doi = {10.1109/ISQED.2005.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/NassifL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DattaNMA05, author = {Ramyanshu Datta and Sani R. Nassif and Robert K. Montoye and Jacob A. Abraham}, title = {Testing and debugging delay faults in dynamic circuits}, booktitle = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005, Austin, TX, USA, November 8-10, 2005}, pages = {10}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/TEST.2005.1583966}, doi = {10.1109/TEST.2005.1583966}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DattaNMA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/DevganN05, author = {Anirudh Devgan and Sani R. Nassif}, title = {Power Variability and Its Impact on Design}, booktitle = {18th International Conference on {VLSI} Design {(VLSI} Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India}, pages = {679--682}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICVD.2005.141}, doi = {10.1109/ICVD.2005.141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/DevganN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/CarballoN04, author = {Juan Antonio Carballo and Sani R. Nassif}, title = {Impact of Design-Manufacturing Interface on SoC Design Methodologies}, journal = {{IEEE} Des. Test Comput.}, volume = {21}, number = {3}, pages = {183--191}, year = {2004}, url = {https://doi.org/10.1109/MDT.2004.13}, doi = {10.1109/MDT.2004.13}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/CarballoN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SuHSN04, author = {Haihua Su and Jiang Hu and Sachin S. Sapatnekar and Sani R. Nassif}, title = {A methodology for the simultaneous design of supply and signal networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {12}, pages = {1614--1624}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.837728}, doi = {10.1109/TCAD.2004.837728}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SuHSN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgarwalSBLNV04, author = {Kanak Agarwal and Dennis Sylvester and David T. Blaauw and Frank Liu and Sani R. Nassif and Sarma B. K. Vrudhula}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Variational delay metrics for interconnect timing analysis}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {381--384}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996675}, doi = {10.1145/996566.996675}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AgarwalSBLNV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NassifBH04, author = {Sani R. Nassif and Duane S. Boning and Nagib Hakim}, title = {The care and feeding of your statistical static timer}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {138--139}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382559}, doi = {10.1109/ICCAD.2004.1382559}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NassifBH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/QianKNS04, author = {Haifeng Qian and Joseph N. Kozhaya and Sani R. Nassif and Sachin S. Sapatnekar}, title = {A chip-level electrostatic discharge simulation strategy}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {315--318}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382593}, doi = {10.1109/ICCAD.2004.1382593}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/QianKNS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RaoASBNN04, author = {Rahul M. Rao and Kanak Agarwal and Dennis Sylvester and Richard B. Brown and Kevin J. Nowka and Sani R. Nassif}, editor = {Rajiv V. Joshi and Kiyoung Choi and Vivek Tiwari and Kaushik Roy}, title = {Approaches to run-time and standby mode leakage reduction in global buses}, booktitle = {Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004}, pages = {188--193}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1013235.1013285}, doi = {10.1145/1013235.1013285}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/RaoASBNN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Nassif04, author = {Sani R. Nassif}, editor = {Rajiv V. Joshi and Kiyoung Choi and Vivek Tiwari and Kaushik Roy}, title = {The impact of variability on power}, booktitle = {Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004}, pages = {350}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1013235.1013241}, doi = {10.1145/1013235.1013241}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Nassif04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/QianNS04, author = {Haifeng Qian and Sani R. Nassif and Sachin S. Sapatnekar}, editor = {Charles J. Alpert and Patrick Groeneveld}, title = {Early-stage power grid analysis for uncertain working modes}, booktitle = {Proceedings of the 2004 International Symposium on Physical Design, {ISPD} 2004, Phoenix, Arizona, USA, April 18-21, 2004}, pages = {132--137}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/981066.981095}, doi = {10.1145/981066.981095}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/QianNS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/NassifH03, author = {Sani R. Nassif and Soha Hassoun}, title = {Guest Editors' Introduction: On-Chip Power Distribution Networks}, journal = {{IEEE} Des. Test Comput.}, volume = {20}, number = {3}, pages = {5--6}, year = {2003}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/NassifH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SuSN03, author = {Haihua Su and Sachin S. Sapatnekar and Sani R. Nassif}, title = {Optimal decoupling capacitor sizing and placement for standard-cell layout designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {4}, pages = {428--436}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.809658}, doi = {10.1109/TCAD.2003.809658}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SuSN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AcarAN03, author = {Emrah Acar and Ravishankar Arunachalam and Sani R. Nassif}, editor = {Hiroto Yasuura}, title = {Predicting short circuit power from timing models}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {277--282}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119826}, doi = {10.1145/1119772.1119826}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/AcarAN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/QianNS03, author = {Haifeng Qian and Sani R. Nassif and Sachin S. Sapatnekar}, title = {Random walks in a supply network}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {93--98}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775860}, doi = {10.1145/775832.775860}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/QianNS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuAN03, author = {Haihua Su and Emrah Acar and Sani R. Nassif}, title = {Power grid reduction based on algebraic multigrid principles}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {109--112}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775863}, doi = {10.1145/775832.775863}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuAN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SuLDAN03, author = {Haihua Su and Frank Liu and Anirudh Devgan and Emrah Acar and Sani R. Nassif}, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {Full chip leakage estimation considering power supply and temperature variations}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {78--83}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/871506.871529}, doi = {10.1145/871506.871529}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/SuLDAN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AcarDRLSNB03, author = {Emrah Acar and Anirudh Devgan and Rahul M. Rao and Ying Liu and Haihua Su and Sani R. Nassif and Jeffrey L. Burns}, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {Leakage and leakage sensitivity computation for combinational circuits}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {96--99}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/871506.871532}, doi = {10.1145/871506.871532}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/AcarDRLSNB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ArunachalamAN03, author = {Ravishankar Arunachalam and Emrah Acar and Sani R. Nassif}, title = {Optimal shielding/spacing metrics for low power design}, booktitle = {2003 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2003), New Trends and Technologies for {VLSI} Systems Design, 20-21 February 2003, Tampa, FL, {USA}}, pages = {167--172}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISVLSI.2003.1183442}, doi = {10.1109/ISVLSI.2003.1183442}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ArunachalamAN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KozhayaNN02, author = {Joseph N. Kozhaya and Sani R. Nassif and Farid N. Najm}, title = {A multigrid-like technique for power grid analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {21}, number = {10}, pages = {1148--1160}, year = {2002}, url = {https://doi.org/10.1109/TCAD.2002.802271}, doi = {10.1109/TCAD.2002.802271}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KozhayaNN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuHSN02, author = {Haihua Su and Jiang Hu and Sachin S. Sapatnekar and Sani R. Nassif}, title = {Congestion-driven codesign of power and signal networks}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {64--69}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.513936}, doi = {10.1145/513918.513936}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuHSN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AcarNP02, author = {Emrah Acar and Sani R. Nassif and Lawrence T. Pileggi}, title = {A Linear-Centric Simulation Framework for Parametric Fluctuations}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {568--575}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998357}, doi = {10.1109/DATE.2002.998357}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AcarNP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GattikerNDL02, author = {Anne E. Gattiker and Sani R. Nassif and Rashmi Dinakar and Chris Long}, title = {Static timing analysis based circuit-limited-yield estimation}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {81--84}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010645}, doi = {10.1109/ISCAS.2002.1010645}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GattikerNDL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/SuSN02, author = {Haihua Su and Sachin S. Sapatnekar and Sani R. Nassif}, editor = {Sachin S. Sapatnekar and Massoud Pedram}, title = {An algorithm for optimal decoupling capacitor sizing and placement for standard cell layouts}, booktitle = {Proceedings of 2002 International Symposium on Physical Design, {ISPD} 2002, Del Mar, CA, USA, April 7-10, 2002}, pages = {68--73}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505388.505405}, doi = {10.1145/505388.505405}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/SuSN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/AcarNLP02, author = {Emrah Acar and Sani R. Nassif and Ying Liu and Lawrence T. Pileggi}, title = {Time-Domain Simulation of Variational Interconnect Models}, booktitle = {3rd International Symposium on Quality of Electronic Design, {ISQED} 2002, San Jose, CA, USA, March 18-21, 2002}, pages = {419--424}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISQED.2002.996782}, doi = {10.1109/ISQED.2002.996782}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/AcarNLP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/CarballoN02, author = {Juan Antonio Carballo and Sani R. Nassif}, editor = {Bertrand Hochet and Antonio J. Acosta and Manuel J. Bellido}, title = {Impact of Technology in Power-Grid-Induced Noise}, booktitle = {Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation, 12th International Workshop, {PATMOS} 2002, Seville, Spain, September 11-13, 2002}, series = {Lecture Notes in Computer Science}, volume = {2451}, pages = {45--54}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45716-X\_5}, doi = {10.1007/3-540-45716-X\_5}, timestamp = {Fri, 03 Jun 2022 08:18:13 +0200}, biburl = {https://dblp.org/rec/conf/patmos/CarballoN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/NassifF02, author = {Sani R. Nassif and Onsi Fakhouri}, title = {Technology trends in power-grid-induced noise}, booktitle = {The Fourth {IEEE/ACM} International Workshop on System-Level Interconnect Prediction {(SLIP} 2002), April 6-7, 2002, San Diego, California, USA, Proceedings}, pages = {55--59}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505348.505360}, doi = {10.1145/505348.505360}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/NassifF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tau/BoningPGNMGL02, author = {Duane S. Boning and Joseph Panganiban and Karen Gonzalez{-}Valentin and Sani R. Nassif and Chandler McDowell and Anne E. Gattiker and Frank Liu}, editor = {David P. LaPotin and Charles J. Alpert and John Lillis}, title = {Test structures for delay variability}, booktitle = {Proceedings of the 8th {ACM/IEEE} International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Monterey, California, USA, December 2-3, 2002}, pages = {109}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/589411.589435}, doi = {10.1145/589411.589435}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tau/BoningPGNMGL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Nassif01, author = {Sani R. Nassif}, editor = {Satoshi Goto}, title = {Modeling and forecasting of manufacturing variations (embedded tutorial)}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {145--150}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370308}, doi = {10.1145/370155.370308}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Nassif01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OnoderaKDNKTH01, author = {Hidetoshi Onodera and Andrew B. Kahng and Wayne Wei{-}Ming Dai and Sani R. Nassif and Juho Kim and Akira Tanabe and Toshihiro Hattori}, editor = {Satoshi Goto}, title = {Beyond the red brick wall (panel): challenges and solutions in 50nm physical design}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {267--268}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370344}, doi = {10.1145/370155.370344}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/OnoderaKDNKTH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/Nassif01, author = {Sani R. Nassif}, title = {Modeling and analysis of manufacturing variations}, booktitle = {Proceedings of the {IEEE} 2001 Custom Integrated Circuits Conference, {CICC} 2001, San Diego, CA, USA, May 6-9, 2001}, pages = {223--228}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/CICC.2001.929760}, doi = {10.1109/CICC.2001.929760}, timestamp = {Mon, 10 Oct 2022 09:13:22 +0200}, biburl = {https://dblp.org/rec/conf/cicc/Nassif01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KozhayaNN01, author = {Joseph N. Kozhaya and Sani R. Nassif and Farid N. Najm}, editor = {Rolf Ernst}, title = {Multigrid-Like Technique for Power Grid Analysis}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {480--487}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968685}, doi = {10.1109/ICCAD.2001.968685}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KozhayaNN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/KozhayaNN01, author = {Joseph N. Kozhaya and Sani R. Nassif and Farid N. Najm}, title = {{I/O} buffer placement methodology for ASICs}, booktitle = {Proceedings of the 2001 8th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2001, Malta, September 2-5, 2001}, pages = {245--248}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICECS.2001.957726}, doi = {10.1109/ICECS.2001.957726}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/KozhayaNN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/AcarPNL01, author = {Emrah Acar and Lawrence T. Pileggi and Sani R. Nassif and Ying Liu}, title = {Assessment of True Worst Case Circuit Performance Under Interconnect Parameter Variations}, booktitle = {2nd International Symposium on Quality of Electronic Design {(ISQED} 2001), 26-28 March 2001, San Jose, CA, {USA}}, pages = {431--436}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISQED.2001.915267}, doi = {10.1109/ISQED.2001.915267}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/AcarPNL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/GattikerNDL01, author = {Anne E. Gattiker and Sani R. Nassif and Rashmi Dinakar and Chris Long}, title = {Timing Yield Estimation from Static Timing Analysis}, booktitle = {2nd International Symposium on Quality of Electronic Design {(ISQED} 2001), 26-28 March 2001, San Jose, CA, {USA}}, pages = {437--442}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISQED.2001.915268}, doi = {10.1109/ISQED.2001.915268}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/GattikerNDL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NassifK00, author = {Sani R. Nassif and Joseph N. Kozhaya}, editor = {Giovanni De Micheli}, title = {Fast power grid simulation}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {156--161}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337359}, doi = {10.1145/337292.337359}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NassifK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuNPS00, author = {Ying Liu and Sani R. Nassif and Lawrence T. Pileggi and Andrzej J. Strojwas}, editor = {Giovanni De Micheli}, title = {Impact of interconnect variations on the clock skew of a gigahertz microprocessor}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {168--171}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337365}, doi = {10.1145/337292.337365}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuNPS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MehrotraSBCVN00, author = {Vikas Mehrotra and Shiou Lin Sam and Duane S. Boning and Anantha P. Chandrakasan and Rakesh Vallishayee and Sani R. Nassif}, editor = {Giovanni De Micheli}, title = {A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {172--175}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337370}, doi = {10.1145/337292.337370}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MehrotraSBCVN00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NagarajSNHYKOK00, author = {N. S. Nagaraj and Andrzej J. Strojwas and Sani R. Nassif and Ray Hokinson and Tak Young and Wonjae L. Kang and David Overhauser and Sung{-}Mo Kang}, editor = {Giovanni De Micheli}, title = {When bad things happen to good chips (panel session)}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {736--737}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337764}, doi = {10.1145/337292.337764}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NagarajSNHYKOK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Nassif00, author = {Sani R. Nassif}, editor = {Ivo Bolsens}, title = {Designing Closer to the Edge}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {636--637}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840852}, doi = {10.1109/DATE.2000.840852}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Nassif00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NassifK00, author = {Sani R. Nassif and Joseph N. Kozhaya}, title = {Multi-grid methods for power grid simulation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2000, Emerging Technologies for the 21st Century, Geneva, Switzerland, 28-31 May 2000, Proceedings}, pages = {457--460}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ISCAS.2000.857470}, doi = {10.1109/ISCAS.2000.857470}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NassifK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/Nassif00, author = {Sani R. Nassif}, title = {Design for Variability in {DSM} Technologies}, booktitle = {1st International Symposium on Quality of Electronic Design {(ISQED} 2000), 20-22 March 2000, San Jose, CA, {USA}}, pages = {451--454}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISQED.2000.838919}, doi = {10.1109/ISQED.2000.838919}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/Nassif00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NassifN99, author = {Sani R. Nassif and Tuyen V. Nguyen}, editor = {Jacob K. White and Ellen Sentovich}, title = {{SOI} technology and tools (abstract)}, booktitle = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999}, pages = {459}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {http://portal.acm.org/citation.cfm?id=339492.340058}, timestamp = {Mon, 08 May 2023 21:43:38 +0200}, biburl = {https://dblp.org/rec/conf/iccad/NassifN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/CarvalhoKN98, author = {A. Carvalho and Fadi J. Kurdahi and Sani R. Nassif}, title = {{IR} and Thermal Estimation Tools, with Applications to the {GUTS} 1GHz Processor}, booktitle = {Proceedings of the 11th Annual Symposium on Integrated Circuits Design, {SBCCI} 1998, Rio de Janiero, Brazil, September 30 - October 2, 1998}, pages = {236--239}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.ieeecomputersociety.org/10.1109/SBCCI.1998.715449}, doi = {10.1109/SBCCI.1998.715449}, timestamp = {Fri, 27 May 2022 10:20:08 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/CarvalhoKN98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LaPotinGCN97, author = {David P. LaPotin and Uttam Ghoshal and Eli Chiprout and Sani R. Nassif}, editor = {Andrew B. Kahng and Majid Sarrafzadeh}, title = {Physical design challenges for performance}, booktitle = {Proceedings of the 1997 International Symposium on Physical Design, {ISPD} 1997, Napa Valley, California, USA, April 14-16, 1997}, pages = {225--226}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/267665.267727}, doi = {10.1145/267665.267727}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/LaPotinGCN97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NassifSD86, author = {Sani R. Nassif and Andrzej J. Strojwas and Stephen W. Director}, title = {A Methodology for Worst-Case Analysis of Integrated Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {5}, number = {1}, pages = {104--113}, year = {1986}, url = {https://doi.org/10.1109/TCAD.1986.1270181}, doi = {10.1109/TCAD.1986.1270181}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NassifSD86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VidigalND86, author = {Lu{\'{\i}}s M. Vidigal and Sani R. Nassif and Stephen W. Director}, editor = {Don Thomas}, title = {{CINNAMON:} coupled integration and nodal analysis of {MOS} networks}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {179--185}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318042}, doi = {10.1145/318013.318042}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VidigalND86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LaPotinNRBN84, author = {David P. LaPotin and Sani R. Nassif and Jayanth V. Rajan and Michael L. Bushnell and John A. Nestor}, title = {{DIF:} {A} framework for {VLSI} multi-level representation}, journal = {Integr.}, volume = {2}, number = {3}, pages = {227--241}, year = {1984}, url = {https://doi.org/10.1016/0167-9260(84)90043-9}, doi = {10.1016/0167-9260(84)90043-9}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/LaPotinNRBN84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NassifSD84, author = {Sani R. Nassif and Andrzej J. Strojwas and Stephen W. Director}, title = {{FABRICS} {II:} {A} Statistically Based {IC} Fabrication Process Simulator}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {3}, number = {1}, pages = {40--46}, year = {1984}, url = {https://doi.org/10.1109/TCAD.1984.1270055}, doi = {10.1109/TCAD.1984.1270055}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/NassifSD84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.