BibTeX records: David Z. Pan

download as .bib file

@article{DBLP:journals/tcad/ChaeZMWWARKP24,
  author       = {Hyunsu Chae and
                  Keren Zhu and
                  Bhyrav Mutnury and
                  Douglas Wallace and
                  Douglas Winterberg and
                  Daniel De Araujo and
                  Jay Reddy and
                  Adam R. Klivans and
                  David Z. Pan},
  title        = {{ISOP+:} Machine Learning-Assisted Inverse Stack-Up Optimization for
                  Advanced Package Design},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {43},
  number       = {1},
  pages        = {2--15},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCAD.2023.3305934},
  doi          = {10.1109/TCAD.2023.3305934},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ChaeZMWWARKP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RoohiTMPA24,
  author       = {Arman Roohi and
                  Sepehr Tabrizchi and
                  Mehrdad Morsali and
                  David Z. Pan and
                  Shaahin Angizi},
  title        = {PiPSim: {A} Behavior-Level Modeling Tool for {CNN} Processing-in-Pixel
                  Accelerators},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {43},
  number       = {1},
  pages        = {141--150},
  year         = {2024},
  url          = {https://doi.org/10.1109/TCAD.2023.3305574},
  doi          = {10.1109/TCAD.2023.3305574},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/RoohiTMPA24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/ZhuGWJZTFHCP24,
  author       = {Hanqing Zhu and
                  Jiaqi Gu and
                  Hanrui Wang and
                  Zixuan Jiang and
                  Zhekai Zhang and
                  Rongxing Tang and
                  Chenghao Feng and
                  Song Han and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {Lightening-Transformer: {A} Dynamically-Operated Optically-Interconnected
                  Photonic Transformer Accelerator},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024},
  pages        = {686--703},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/HPCA57654.2024.00059},
  doi          = {10.1109/HPCA57654.2024.00059},
  timestamp    = {Wed, 17 Apr 2024 17:17:11 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/ZhuGWJZTFHCP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2401-05571,
  author       = {Tianlong Chen and
                  Zhenyu Zhang and
                  Hanrui Wang and
                  Jiaqi Gu and
                  Zirui Li and
                  David Z. Pan and
                  Frederic T. Chong and
                  Song Han and
                  Zhangyang Wang},
  title        = {QuantumSEA: In-Time Sparse Exploration for Noise Adaptive Quantum
                  Circuits},
  journal      = {CoRR},
  volume       = {abs/2401.05571},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2401.05571},
  doi          = {10.48550/ARXIV.2401.05571},
  eprinttype    = {arXiv},
  eprint       = {2401.05571},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2401-05571.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2401-12343,
  author       = {Hanchen Ye and
                  David Z. Pan and
                  Chris Leary and
                  Deming Chen and
                  Xiaoqing Xu},
  title        = {Subgraph Extraction-based Feedback-guided Iterative Scheduling for
                  {HLS}},
  journal      = {CoRR},
  volume       = {abs/2401.12343},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2401.12343},
  doi          = {10.48550/ARXIV.2401.12343},
  eprinttype    = {arXiv},
  eprint       = {2401.12343},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2401-12343.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2403-14806,
  author       = {Shupeng Ning and
                  Hanqing Zhu and
                  Chenghao Feng and
                  Jiaqi Gu and
                  Zhixing Jiang and
                  Zhoufeng Ying and
                  Jason Midkiff and
                  Sourabh Jain and
                  May H. Hlaing and
                  David Z. Pan and
                  Ray T. Chen},
  title        = {Photonic-Electronic Integrated Circuits for High-Performance Computing
                  and {AI} Accelerator},
  journal      = {CoRR},
  volume       = {abs/2403.14806},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2403.14806},
  doi          = {10.48550/ARXIV.2403.14806},
  eprinttype    = {arXiv},
  eprint       = {2403.14806},
  timestamp    = {Tue, 09 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2403-14806.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YangZTWZLKPLS23,
  author       = {Xiangxing Yang and
                  Keren Zhu and
                  Xiyuan Tang and
                  Meizhi Wang and
                  Mingtao Zhan and
                  Nanshu Lu and
                  Jaydeep P. Kulkarni and
                  David Z. Pan and
                  Yongpan Liu and
                  Nan Sun},
  title        = {An In-Memory-Computing Charge-Domain Ternary {CNN} Classifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {58},
  number       = {5},
  pages        = {1450--1461},
  year         = {2023},
  url          = {https://doi.org/10.1109/JSSC.2023.3238725},
  doi          = {10.1109/JSSC.2023.3238725},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YangZTWZLKPLS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TangYLWSPS23,
  author       = {Xiyuan Tang and
                  Xiangxing Yang and
                  Jiaxin Liu and
                  Zongnan Wang and
                  Wei Shi and
                  David Z. Pan and
                  Nan Sun},
  title        = {A Bandwidth-Adaptive Pipelined {SAR} {ADC} With Three-Stage Cascoded
                  Floating Inverter Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {58},
  number       = {9},
  pages        = {2564--2574},
  year         = {2023},
  url          = {https://doi.org/10.1109/JSSC.2023.3268719},
  doi          = {10.1109/JSSC.2023.3268719},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TangYLWSPS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GaoZLSPLWH23,
  author       = {Xiaohan Gao and
                  Haoyi Zhang and
                  Mingjie Liu and
                  Linxiao Shen and
                  David Z. Pan and
                  Yibo Lin and
                  Runsheng Wang and
                  Ru Huang},
  title        = {Interactive Analog Layout Editing With Instant Placement and Routing
                  Legalization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {3},
  pages        = {698--711},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3190234},
  doi          = {10.1109/TCAD.2022.3190234},
  timestamp    = {Sat, 11 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/GaoZLSPLWH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GuFZZYLCP23,
  author       = {Jiaqi Gu and
                  Chenghao Feng and
                  Hanqing Zhu and
                  Zheng Zhao and
                  Zhoufeng Ying and
                  Mingjie Liu and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {SqueezeLight: {A} Multi-Operand Ring-Based Optical Neural Network
                  With Cross-Layer Scalability},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {3},
  pages        = {807--819},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3189567},
  doi          = {10.1109/TCAD.2022.3189567},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GuFZZYLCP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhuGFLJCP23,
  author       = {Hanqing Zhu and
                  Jiaqi Gu and
                  Chenghao Feng and
                  Mingjie Liu and
                  Zixuan Jiang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {ELight: Toward Efficient and Aging-Resilient Photonic In-Memory Neurocomputing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {3},
  pages        = {820--833},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3180969},
  doi          = {10.1109/TCAD.2022.3180969},
  timestamp    = {Sat, 11 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhuGFLJCP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/00010LP23,
  author       = {Keren Zhu and
                  Hao Chen and
                  Mingjie Liu and
                  David Z. Pan},
  title        = {Hierarchical Analog and Mixed-Signal Circuit Placement Considering
                  System Signal Flow},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {42},
  number       = {8},
  pages        = {2689--2702},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCAD.2022.3230367},
  doi          = {10.1109/TCAD.2022.3230367},
  timestamp    = {Sat, 05 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/00010LP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/ZhuCLP23,
  author       = {Keren Zhu and
                  Hao Chen and
                  Mingjie Liu and
                  David Z. Pan},
  title        = {Tutorial and Perspectives on {MAGICAL:} {A} Silicon-Proven Open-Source
                  Analog {IC} Layout System},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {70},
  number       = {2},
  pages        = {715--720},
  year         = {2023},
  url          = {https://doi.org/10.1109/TCSII.2022.3172869},
  doi          = {10.1109/TCSII.2022.3172869},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/ZhuCLP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/AngiziTPR23,
  author       = {Shaahin Angizi and
                  Sepehr Tabrizchi and
                  David Z. Pan and
                  Arman Roohi},
  title        = {{PISA:} {A} Non-Volatile Processing-in-Sensor Accelerator for Imaging
                  Systems},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {11},
  number       = {4},
  pages        = {962--972},
  year         = {2023},
  url          = {https://doi.org/10.1109/TETC.2023.3292251},
  doi          = {10.1109/TETC.2023.3292251},
  timestamp    = {Sat, 13 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tetc/AngiziTPR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BudakSSP23,
  author       = {Ahmet Faruk Budak and
                  David Smart and
                  Brian Swahn and
                  David Z. Pan},
  editor       = {Atsushi Takahashi},
  title        = {{APOSTLE:} Asynchronously Parallel Optimization for Sizing Analog
                  Transistors Using {DNN} Learning},
  booktitle    = {Proceedings of the 28th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023},
  pages        = {70--75},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3566097.3567880},
  doi          = {10.1145/3566097.3567880},
  timestamp    = {Mon, 26 Jun 2023 20:46:40 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/BudakSSP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/coins/JiangGLP23,
  author       = {Zixuan Jiang and
                  Jiaqi Gu and
                  Mingjie Liu and
                  David Z. Pan},
  title        = {Delving into Effective Gradient Matching for Dataset Condensation},
  booktitle    = {{IEEE} International Conference on Omni-layer Intelligent Systems,
                  {COINS} 2023, Berlin, Germany, July 23-25, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/COINS57856.2023.10189244},
  doi          = {10.1109/COINS57856.2023.10189244},
  timestamp    = {Fri, 04 Aug 2023 13:58:10 +0200},
  biburl       = {https://dblp.org/rec/conf/coins/JiangGLP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/coins/JiangGP23,
  author       = {Zixuan Jiang and
                  Jiaqi Gu and
                  David Z. Pan},
  title        = {NormSoftmax: Normalizing the Input of Softmax to Accelerate and Stabilize
                  Training},
  booktitle    = {{IEEE} International Conference on Omni-layer Intelligent Systems,
                  {COINS} 2023, Berlin, Germany, July 23-25, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/COINS57856.2023.10189242},
  doi          = {10.1109/COINS57856.2023.10189242},
  timestamp    = {Fri, 04 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/coins/JiangGP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChaeMZWWARKP23,
  author       = {Hyunsu Chae and
                  Bhyrav Mutnury and
                  Keren Zhu and
                  Douglas Wallace and
                  Douglas Winterberg and
                  Daniel De Araujo and
                  Jay Reddy and
                  Adam R. Klivans and
                  David Z. Pan},
  title        = {{ISOP:} Machine Learning-Assisted Inverse Stack-Up Optimization for
                  Advanced Package Design},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137055},
  doi          = {10.23919/DATE56975.2023.10137055},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChaeMZWWARKP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BudakZP23,
  author       = {Ahmet Faruk Budak and
                  Keren Zhu and
                  David Z. Pan},
  title        = {Practical Layout-Aware Analog/Mixed-Signal Design Automation with
                  Bayesian Neural Networks},
  booktitle    = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD}
                  2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCAD57390.2023.10323923},
  doi          = {10.1109/ICCAD57390.2023.10323923},
  timestamp    = {Wed, 03 Jan 2024 08:34:26 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BudakZP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/0059HTW0PR23,
  author       = {Hao Chen and
                  Kai{-}Chieh Hsu and
                  Walker J. Turner and
                  Po{-}Hsuan Wei and
                  Keren Zhu and
                  David Z. Pan and
                  Haoxing Ren},
  editor       = {David G. Chinnery and
                  Iris Hui{-}Ru Jiang},
  title        = {Reinforcement Learning Guided Detailed Routing for Custom Circuits},
  booktitle    = {Proceedings of the 2023 International Symposium on Physical Design,
                  {ISPD} 2023, Virtual Event, USA, March 26-29, 2023},
  pages        = {26--34},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3569052.3571874},
  doi          = {10.1145/3569052.3571874},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/0059HTW0PR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/Budak0CPZJP23,
  author       = {Ahmet Faruk Budak and
                  Keren Zhu and
                  Hao Chen and
                  Souradip Poddar and
                  Linran Zhao and
                  Yaoyao Jia and
                  David Z. Pan},
  editor       = {David G. Chinnery and
                  Iris Hui{-}Ru Jiang},
  title        = {Joint Optimization of Sizing and Layout for {AMS} Designs: Challenges
                  and Opportunities},
  booktitle    = {Proceedings of the 2023 International Symposium on Physical Design,
                  {ISPD} 2023, Virtual Event, USA, March 26-29, 2023},
  pages        = {84--92},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3569052.3578929},
  doi          = {10.1145/3569052.3578929},
  timestamp    = {Fri, 31 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/Budak0CPZJP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/RajarathnamJIP23,
  author       = {Rachel Selina Rajarathnam and
                  Zixuan Jiang and
                  Mahesh A. Iyer and
                  David Z. Pan},
  editor       = {David G. Chinnery and
                  Iris Hui{-}Ru Jiang},
  title        = {DREAMPlaceFPGA-PL: An Open-Source GPU-Accelerated Packer-Legalizer
                  for Heterogeneous FPGAs},
  booktitle    = {Proceedings of the 2023 International Symposium on Physical Design,
                  {ISPD} 2023, Virtual Event, USA, March 26-29, 2023},
  pages        = {175--184},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3569052.3571881},
  doi          = {10.1145/3569052.3571881},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/RajarathnamJIP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nips/JiangGZP23,
  author       = {Zixuan Jiang and
                  Jiaqi Gu and
                  Hanqing Zhu and
                  David Z. Pan},
  editor       = {Alice Oh and
                  Tristan Naumann and
                  Amir Globerson and
                  Kate Saenko and
                  Moritz Hardt and
                  Sergey Levine},
  title        = {Pre-RMSNorm and Pre-CRMSNorm Transformers: Equivalent and Efficient
                  Pre-LN Transformers},
  booktitle    = {Advances in Neural Information Processing Systems 36: Annual Conference
                  on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans,
                  LA, USA, December 10 - 16, 2023},
  year         = {2023},
  url          = {http://papers.nips.cc/paper\_files/paper/2023/hash/8f1bacee31caf990a4f08d84f0ccb322-Abstract-Conference.html},
  timestamp    = {Fri, 01 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nips/JiangGZP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/qce/ChenZWGLPCHW23,
  author       = {Tianlong Chen and
                  Zhenyu Zhang and
                  Hanrui Wang and
                  Jiaqi Gu and
                  Zirui Li and
                  David Z. Pan and
                  Frederic T. Chong and
                  Song Han and
                  Zhangyang Wang},
  editor       = {Brian La Cour and
                  Lia Yeh and
                  Marek Osinski},
  title        = {QuantumSEA: In-Time Sparse Exploration for Noise Adaptive Quantum
                  Circuits},
  booktitle    = {{IEEE} International Conference on Quantum Computing and Engineering,
                  {QCE} 2023, Bellevue, WA, USA, September 17-22, 2023},
  pages        = {51--62},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/QCE57702.2023.00015},
  doi          = {10.1109/QCE57702.2023.00015},
  timestamp    = {Tue, 19 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/qce/ChenZWGLPCHW23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-14858,
  author       = {Zixuan Jiang and
                  Jiaqi Gu and
                  Hanqing Zhu and
                  David Z. Pan},
  title        = {Pre-RMSNorm and Pre-CRMSNorm Transformers: Equivalent and Efficient
                  Pre-LN Transformers},
  journal      = {CoRR},
  volume       = {abs/2305.14858},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.14858},
  doi          = {10.48550/ARXIV.2305.14858},
  eprinttype    = {arXiv},
  eprint       = {2305.14858},
  timestamp    = {Tue, 06 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-14858.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-19505,
  author       = {Jiaqi Gu and
                  Hanqing Zhu and
                  Chenghao Feng and
                  Zixuan Jiang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {{M3ICRO:} Machine Learning-Enabled Compact Photonic Tensor Core based
                  on PRogrammable Multi-Operand Multimode Interference},
  journal      = {CoRR},
  volume       = {abs/2305.19505},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.19505},
  doi          = {10.48550/ARXIV.2305.19505},
  eprinttype    = {arXiv},
  eprint       = {2305.19505},
  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-19505.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-19533,
  author       = {Hanqing Zhu and
                  Jiaqi Gu and
                  Hanrui Wang and
                  Zixuan Jiang and
                  Zhekai Zhang and
                  Rongxin Tang and
                  Chenghao Feng and
                  Song Han and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {{DOTA:} {A} Dynamically-Operated Photonic Tensor Core for Energy-Efficient
                  Transformer Accelerator},
  journal      = {CoRR},
  volume       = {abs/2305.19533},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.19533},
  doi          = {10.48550/ARXIV.2305.19533},
  eprinttype    = {arXiv},
  eprint       = {2305.19533},
  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-19533.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-19592,
  author       = {Chenghao Feng and
                  Jiaqi Gu and
                  Hanqing Zhu and
                  Rongxing Tang and
                  Shupeng Ning and
                  May Hlaing and
                  Jason Midkiff and
                  Sourabh Jain and
                  David Z. Pan and
                  Ray T. Chen},
  title        = {Integrated multi-operand optical neurons for scalable and hardware-efficient
                  deep learning},
  journal      = {CoRR},
  volume       = {abs/2305.19592},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.19592},
  doi          = {10.48550/ARXIV.2305.19592},
  eprinttype    = {arXiv},
  eprint       = {2305.19592},
  timestamp    = {Tue, 06 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-19592.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2310-14049,
  author       = {Xiaohan Gao and
                  Haoyi Zhang and
                  Siyuan Ye and
                  Mingjie Liu and
                  David Z. Pan and
                  Linxiao Shen and
                  Runsheng Wang and
                  Yibo Lin and
                  Ru Huang},
  title        = {Post-Layout Simulation Driven Analog Circuit Sizing},
  journal      = {CoRR},
  volume       = {abs/2310.14049},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2310.14049},
  doi          = {10.48550/ARXIV.2310.14049},
  eprinttype    = {arXiv},
  eprint       = {2310.14049},
  timestamp    = {Fri, 27 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-14049.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-08582,
  author       = {Zhili Xiong and
                  Rachel Selina Rajarathnam and
                  Zhixing Jiang and
                  Hanqing Zhu and
                  David Z. Pan},
  title        = {DREAMPlaceFPGA-MP: An Open-Source GPU-Accelerated Macro Placer for
                  Modern FPGAs with Cascade Shapes and Region Constraints},
  journal      = {CoRR},
  volume       = {abs/2311.08582},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.08582},
  doi          = {10.48550/ARXIV.2311.08582},
  eprinttype    = {arXiv},
  eprint       = {2311.08582},
  timestamp    = {Tue, 21 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-08582.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-15123,
  author       = {Hanrui Wang and
                  Pengyu Liu and
                  Bochen Tan and
                  Yilian Liu and
                  Jiaqi Gu and
                  David Z. Pan and
                  Jason Cong and
                  Umut A. Acar and
                  Song Han},
  title        = {{FPQA-C:} {A} Compilation Framework for Field Programmable Qubit Array},
  journal      = {CoRR},
  volume       = {abs/2311.15123},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.15123},
  doi          = {10.48550/ARXIV.2311.15123},
  eprinttype    = {arXiv},
  eprint       = {2311.15123},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-15123.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-16035,
  author       = {Hanrui Wang and
                  Yilian Liu and
                  Pengyu Liu and
                  Jiaqi Gu and
                  Zirui Li and
                  Zhiding Liang and
                  Jinglei Cheng and
                  Yongshan Ding and
                  Xuehai Qian and
                  Yiyu Shi and
                  David Z. Pan and
                  Frederic T. Chong and
                  Song Han},
  title        = {RobustState: Boosting Fidelity of Quantum State Preparation via Noise-Aware
                  Variational Training},
  journal      = {CoRR},
  volume       = {abs/2311.16035},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.16035},
  doi          = {10.48550/ARXIV.2311.16035},
  eprinttype    = {arXiv},
  eprint       = {2311.16035},
  timestamp    = {Wed, 29 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-16035.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-16082,
  author       = {Hanrui Wang and
                  Pengyu Liu and
                  Kevin Shao and
                  Dantong Li and
                  Jiaqi Gu and
                  David Z. Pan and
                  Yongshan Ding and
                  Song Han},
  title        = {Transformer-QEC: Quantum Error Correction Code Decoding with Transferable
                  Transformers},
  journal      = {CoRR},
  volume       = {abs/2311.16082},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.16082},
  doi          = {10.48550/ARXIV.2311.16082},
  eprinttype    = {arXiv},
  eprint       = {2311.16082},
  timestamp    = {Wed, 29 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-16082.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-17073,
  author       = {Ahmet Faruk Budak and
                  Keren Zhu and
                  David Z. Pan},
  title        = {Practical Layout-Aware Analog/Mixed-Signal Design Automation with
                  Bayesian Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2311.17073},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.17073},
  doi          = {10.48550/ARXIV.2311.17073},
  eprinttype    = {arXiv},
  eprint       = {2311.17073},
  timestamp    = {Tue, 05 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-17073.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimPG22,
  author       = {Ki Yong Kim and
                  David Z. Pan and
                  Ranjit Gharpurey},
  title        = {A Broadband Spectrum Channelizer With PWM-LO-Based Sub-Band Gain Control},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {57},
  number       = {3},
  pages        = {781--792},
  year         = {2022},
  url          = {https://doi.org/10.1109/JSSC.2021.3138444},
  doi          = {10.1109/JSSC.2021.3138444},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/KimPG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MengLLP22,
  author       = {Yibai Meng and
                  Wuxi Li and
                  Yibo Lin and
                  David Z. Pan},
  title        = {elfPlace: Electrostatics-Based Placement for Large-Scale Heterogeneous
                  FPGAs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {1},
  pages        = {155--168},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3053191},
  doi          = {10.1109/TCAD.2021.3053191},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/MengLLP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BudakGSPSL22,
  author       = {Ahmet Faruk Budak and
                  Miguel Gandara and
                  Wei Shi and
                  David Z. Pan and
                  Nan Sun and
                  Bo Liu},
  title        = {An Efficient Analog Circuit Sizing Method Based on Machine Learning
                  Assisted Global Optimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {5},
  pages        = {1209--1221},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3081405},
  doi          = {10.1109/TCAD.2021.3081405},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BudakGSPSL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RappALYPWH22,
  author       = {Martin Rapp and
                  Hussam Amrouch and
                  Yibo Lin and
                  Bei Yu and
                  David Z. Pan and
                  Marilyn Wolf and
                  J{\"{o}}rg Henkel},
  title        = {{MLCAD:} {A} Survey of Research in Machine Learning for {CAD} Keynote
                  Paper},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {10},
  pages        = {3162--3181},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3124762},
  doi          = {10.1109/TCAD.2021.3124762},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RappALYPWH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcasII/GuFZCP22,
  author       = {Jiaqi Gu and
                  Chenghao Feng and
                  Hanqing Zhu and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {Light in {AI:} Toward Efficient Neurocomputing With Optical Neural
                  Networks - {A} Tutorial},
  journal      = {{IEEE} Trans. Circuits Syst. {II} Express Briefs},
  volume       = {69},
  number       = {6},
  pages        = {2581--2585},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCSII.2022.3171170},
  doi          = {10.1109/TCSII.2022.3171170},
  timestamp    = {Mon, 13 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcasII/GuFZCP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0001CLP22,
  author       = {Keren Zhu and
                  Hao Chen and
                  Mingjie Liu and
                  David Z. Pan},
  title        = {Automating Analog Constraint Extraction: From Heuristics to Learning:
                  (Invited Paper)},
  booktitle    = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2022, Taipei, Taiwan, January 17-20, 2022},
  pages        = {108--113},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ASP-DAC52403.2022.9712488},
  doi          = {10.1109/ASP-DAC52403.2022.9712488},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/0001CLP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RajarathnamAJIP22,
  author       = {Rachel Selina Rajarathnam and
                  Mohamed Baker Alawieh and
                  Zixuan Jiang and
                  Mahesh A. Iyer and
                  David Z. Pan},
  title        = {DREAMPlaceFPGA: An Open-Source Analytical Placer for Large Scale Heterogeneous
                  FPGAs using Deep-Learning Toolkit},
  booktitle    = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2022, Taipei, Taiwan, January 17-20, 2022},
  pages        = {300--306},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ASP-DAC52403.2022.9712562},
  doi          = {10.1109/ASP-DAC52403.2022.9712562},
  timestamp    = {Fri, 04 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RajarathnamAJIP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhuGFLJCP22,
  author       = {Hanqing Zhu and
                  Jiaqi Gu and
                  Chenghao Feng and
                  Mingjie Liu and
                  Zixuan Jiang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {ELight: Enabling Efficient Photonic In-Memory Neurocomputing with
                  Life Enhancement},
  booktitle    = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2022, Taipei, Taiwan, January 17-20, 2022},
  pages        = {332--338},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ASP-DAC52403.2022.9712497},
  doi          = {10.1109/ASP-DAC52403.2022.9712497},
  timestamp    = {Fri, 04 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhuGFLJCP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BudakJ0MGP22,
  author       = {Ahmet Faruk Budak and
                  Zixuan Jiang and
                  Keren Zhu and
                  Azalia Mirhoseini and
                  Anna Goldie and
                  David Z. Pan},
  title        = {Reinforcement Learning for Electronic Design Automation: Case Studies
                  and Perspectives: (Invited Paper)},
  booktitle    = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2022, Taipei, Taiwan, January 17-20, 2022},
  pages        = {500--505},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ASP-DAC52403.2022.9712578},
  doi          = {10.1109/ASP-DAC52403.2022.9712578},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/BudakJ0MGP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/0001CLTSSP22,
  author       = {Keren Zhu and
                  Hao Chen and
                  Mingjie Liu and
                  Xiyuan Tang and
                  Wei Shi and
                  Nan Sun and
                  David Z. Pan},
  title        = {Generative-Adversarial-Network-Guided Well-Aware Placement for Analog
                  Circuits},
  booktitle    = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2022, Taipei, Taiwan, January 17-20, 2022},
  pages        = {519--525},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ASP-DAC52403.2022.9712592},
  doi          = {10.1109/ASP-DAC52403.2022.9712592},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/0001CLTSSP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cvpr/GuKW00CLCP22,
  author       = {Jiaqi Gu and
                  Hyoukjun Kwon and
                  Dilin Wang and
                  Wei Ye and
                  Meng Li and
                  Yu{-}Hsin Chen and
                  Liangzhen Lai and
                  Vikas Chandra and
                  David Z. Pan},
  title        = {Multi-Scale High-Resolution Vision Transformer for Semantic Segmentation},
  booktitle    = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition,
                  {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022},
  pages        = {12084--12093},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/CVPR52688.2022.01178},
  doi          = {10.1109/CVPR52688.2022.01178},
  timestamp    = {Wed, 05 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cvpr/GuKW00CLCP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/0002G0LCP022,
  author       = {Hanrui Wang and
                  Jiaqi Gu and
                  Yongshan Ding and
                  Zirui Li and
                  Frederic T. Chong and
                  David Z. Pan and
                  Song Han},
  editor       = {Rob Oshana},
  title        = {QuantumNAT: quantum noise-aware training with noise injection, quantization
                  and normalization},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {1--6},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530400},
  doi          = {10.1145/3489517.3530400},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/0002G0LCP022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/0002LG0P022,
  author       = {Hanrui Wang and
                  Zirui Li and
                  Jiaqi Gu and
                  Yongshan Ding and
                  David Z. Pan and
                  Song Han},
  editor       = {Rob Oshana},
  title        = {{QOC:} quantum on-chip training with parameter shift and gradient
                  pruning},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {655--660},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530495},
  doi          = {10.1145/3489517.3530495},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/0002LG0P022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuZFJLZCP22,
  author       = {Jiaqi Gu and
                  Hanqing Zhu and
                  Chenghao Feng and
                  Zixuan Jiang and
                  Mingjie Liu and
                  Shuhan Zhang and
                  Ray T. Chen and
                  David Z. Pan},
  editor       = {Rob Oshana},
  title        = {{ADEPT:} automatic differentiable DEsign of photonic tensor cores},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {937--942},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530562},
  doi          = {10.1145/3489517.3530562},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GuZFJLZCP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuoLGZPL22,
  author       = {Zizheng Guo and
                  Mingjie Liu and
                  Jiaqi Gu and
                  Shuhan Zhang and
                  David Z. Pan and
                  Yibo Lin},
  editor       = {Rob Oshana},
  title        = {A timing engine inspired graph neural network model for pre-routing
                  slack prediction},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {1207--1212},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530597},
  doi          = {10.1145/3489517.3530597},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GuoLGZPL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChenTPR22,
  author       = {Hao Chen and
                  Walker J. Turner and
                  David Z. Pan and
                  Haoxing Ren},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {Routability-Aware Placement for Advanced FinFET Mixed-Signal Circuits
                  using Satisfiability Modulo Theories},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {160--165},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774766},
  doi          = {10.23919/DATE54114.2022.9774766},
  timestamp    = {Tue, 07 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChenTPR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/WangDGLPCH22,
  author       = {Hanrui Wang and
                  Yongshan Ding and
                  Jiaqi Gu and
                  Yujun Lin and
                  David Z. Pan and
                  Frederic T. Chong and
                  Song Han},
  title        = {QuantumNAS: Noise-Adaptive Search for Robust Quantum Circuits},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2022, Seoul, South Korea, April 2-6, 2022},
  pages        = {692--708},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HPCA53966.2022.00057},
  doi          = {10.1109/HPCA53966.2022.00057},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/WangDGLPCH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Zhu0GJCIP22,
  author       = {Hanqing Zhu and
                  Keren Zhu and
                  Jiaqi Gu and
                  Harrison Jin and
                  Ray T. Chen and
                  Jean Anne Incorvia and
                  David Z. Pan},
  editor       = {Tulika Mitra and
                  Evangeline F. Y. Young and
                  Jinjun Xiong},
  title        = {Fuse and Mix: MACAM-Enabled Analog Activation for Energy-Efficient
                  Neural Acceleration},
  booktitle    = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022
                  - 3 November 2022},
  pages        = {37:1--37:9},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3508352.3549449},
  doi          = {10.1145/3508352.3549449},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Zhu0GJCIP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/00010TKWPR22,
  author       = {Keren Zhu and
                  Hao Chen and
                  Walker J. Turner and
                  George F. Kokai and
                  Po{-}Hsuan Wei and
                  David Z. Pan and
                  Haoxing Ren},
  editor       = {Tulika Mitra and
                  Evangeline F. Y. Young and
                  Jinjun Xiong},
  title        = {{TAG:} Learning Circuit Spatial Embedding from Layouts},
  booktitle    = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022
                  - 3 November 2022},
  pages        = {66:1--66:9},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3508352.3549384},
  doi          = {10.1145/3508352.3549384},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/00010TKWPR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/0002LGL0J0PC022,
  author       = {Hanrui Wang and
                  Zhiding Liang and
                  Jiaqi Gu and
                  Zirui Li and
                  Yongshan Ding and
                  Weiwen Jiang and
                  Yiyu Shi and
                  David Z. Pan and
                  Frederic T. Chong and
                  Song Han},
  editor       = {Tulika Mitra and
                  Evangeline F. Y. Young and
                  Jinjun Xiong},
  title        = {TorchQuantum Case Study for Robust Quantum Circuits},
  booktitle    = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022
                  - 3 November 2022},
  pages        = {136:1--136:9},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3508352.3561118},
  doi          = {10.1145/3508352.3561118},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/0002LGL0J0PC022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/Pan22,
  author       = {David Z. Pan},
  title        = {{EDAML} 2022 Keynote Speaker: Machine Learning for Agile, Intelligent
                  and Open-Source {EDA}},
  booktitle    = {{IEEE} International Parallel and Distributed Processing Symposium,
                  {IPDPS} Workshops 2022, Lyon, France, May 30 - June 3, 2022},
  pages        = {1181},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/IPDPSW55747.2022.00193},
  doi          = {10.1109/IPDPSW55747.2022.00193},
  timestamp    = {Mon, 08 Aug 2022 16:44:20 +0200},
  biburl       = {https://dblp.org/rec/conf/ipps/Pan22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ChenTS0KZGKPR22,
  author       = {Hao Chen and
                  Walker J. Turner and
                  Sanquan Song and
                  Keren Zhu and
                  George F. Kokai and
                  Brian Zimmer and
                  C. Thomas Gray and
                  Brucek Khailany and
                  David Z. Pan and
                  Haoxing Ren},
  editor       = {Laleh Behjat and
                  Stephen Yang},
  title        = {AutoCRAFT: Layout Automation for Custom Circuits in Advanced FinFET
                  Technologies},
  booktitle    = {{ISPD} 2022: International Symposium on Physical Design, Virtual Event,
                  Canada, March 27 - 30, 2022},
  pages        = {175--183},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3505170.3511044},
  doi          = {10.1145/3505170.3511044},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ChenTS0KZGKPR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/PosserYHLP22,
  author       = {Gracieli Posser and
                  Evangeline F. Y. Young and
                  Stephan Held and
                  Yih{-}Lang Li and
                  David Z. Pan},
  editor       = {Laleh Behjat and
                  Stephen Yang},
  title        = {Challenges and Approaches in {VLSI} Routing},
  booktitle    = {{ISPD} 2022: International Symposium on Physical Design, Virtual Event,
                  Canada, March 27 - 30, 2022},
  pages        = {185--192},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3505170.3511477},
  doi          = {10.1145/3505170.3511477},
  timestamp    = {Thu, 14 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/PosserYHLP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mlcad/ShiWGLPHS22,
  author       = {Wei Shi and
                  Hanrui Wang and
                  Jiaqi Gu and
                  Mingjie Liu and
                  David Z. Pan and
                  Song Han and
                  Nan Sun},
  title        = {RobustAnalog: Fast Variation-Aware Analog Circuit Design Via Multi-task
                  {RL}},
  booktitle    = {2022 {ACM/IEEE} Workshop on Machine Learning for CAD, {MLCAD} 2022,
                  Virtual Event, China, September 12-13, 2022},
  pages        = {35--41},
  publisher    = {{ACM} / {IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3551901.3556487},
  doi          = {10.1145/3551901.3556487},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mlcad/ShiWGLPHS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mlcad/JiangLGZLP22,
  author       = {Zixuan Jiang and
                  Mingjie Liu and
                  Zizheng Guo and
                  Shuhan Zhang and
                  Yibo Lin and
                  David Z. Pan},
  title        = {A Tale of EDA's Long Tail: Long-Tailed Distribution Learning for Electronic
                  Design Automation},
  booktitle    = {2022 {ACM/IEEE} Workshop on Machine Learning for CAD, {MLCAD} 2022,
                  Virtual Event, China, September 12-13, 2022},
  pages        = {135--141},
  publisher    = {{ACM} / {IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3551901.3556485},
  doi          = {10.1145/3551901.3556485},
  timestamp    = {Fri, 07 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mlcad/JiangLGZLP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nips/GuGFZCBP22,
  author       = {Jiaqi Gu and
                  Zhengqi Gao and
                  Chenghao Feng and
                  Hanqing Zhu and
                  Ray T. Chen and
                  Duane S. Boning and
                  David Z. Pan},
  editor       = {Sanmi Koyejo and
                  S. Mohamed and
                  A. Agarwal and
                  Danielle Belgrave and
                  K. Cho and
                  A. Oh},
  title        = {NeurOLight: {A} Physics-Agnostic Neural Operator Enabling Parametric
                  Photonic Device Simulation},
  booktitle    = {Advances in Neural Information Processing Systems 35: Annual Conference
                  on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans,
                  LA, USA, November 28 - December 9, 2022},
  year         = {2022},
  url          = {http://papers.nips.cc/paper\_files/paper/2022/hash/5ddfb189c022a317ff1c72e6639079de-Abstract-Conference.html},
  timestamp    = {Sat, 10 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nips/GuGFZCBP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2202-13239,
  author       = {Hanrui Wang and
                  Zirui Li and
                  Jiaqi Gu and
                  Yongshan Ding and
                  David Z. Pan and
                  Song Han},
  title        = {On-chip {QNN:} Towards Efficient On-Chip Training of Quantum Neural
                  Networks},
  journal      = {CoRR},
  volume       = {abs/2202.13239},
  year         = {2022},
  url          = {https://arxiv.org/abs/2202.13239},
  eprinttype    = {arXiv},
  eprint       = {2202.13239},
  timestamp    = {Thu, 28 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2202-13239.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2207-06412,
  author       = {Wei Shi and
                  Hanrui Wang and
                  Jiaqi Gu and
                  Mingjie Liu and
                  David Z. Pan and
                  Song Han and
                  Nan Sun},
  title        = {RobustAnalog: Fast Variation-Aware Analog Circuit Design Via Multi-task
                  {RL}},
  journal      = {CoRR},
  volume       = {abs/2207.06412},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2207.06412},
  doi          = {10.48550/ARXIV.2207.06412},
  eprinttype    = {arXiv},
  eprint       = {2207.06412},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2207-06412.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2208-00311,
  author       = {Zixuan Jiang and
                  Jiaqi Gu and
                  Mingjie Liu and
                  David Z. Pan},
  title        = {Delving into Effective Gradient Matching for Dataset Condensation},
  journal      = {CoRR},
  volume       = {abs/2208.00311},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2208.00311},
  doi          = {10.48550/ARXIV.2208.00311},
  eprinttype    = {arXiv},
  eprint       = {2208.00311},
  timestamp    = {Tue, 09 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2208-00311.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2208-06975,
  author       = {Wei Li and
                  Ruxuan Li and
                  Yuzhe Ma and
                  Siu On Chan and
                  David Z. Pan and
                  Bei Yu},
  title        = {Rethinking Graph Neural Networks for the Graph Coloring Problem},
  journal      = {CoRR},
  volume       = {abs/2208.06975},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2208.06975},
  doi          = {10.48550/ARXIV.2208.06975},
  eprinttype    = {arXiv},
  eprint       = {2208.06975},
  timestamp    = {Wed, 17 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2208-06975.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2208-08099,
  author       = {Hanqing Zhu and
                  Keren Zhu and
                  Jiaqi Gu and
                  Harrison Jin and
                  Ray T. Chen and
                  Jean Anne Incorvia and
                  David Z. Pan},
  title        = {Fuse and Mix: MACAM-Enabled Analog Activation for Energy-Efficient
                  Neural Acceleration},
  journal      = {CoRR},
  volume       = {abs/2208.08099},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2208.08099},
  doi          = {10.48550/ARXIV.2208.08099},
  eprinttype    = {arXiv},
  eprint       = {2208.08099},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2208-08099.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2209-03465,
  author       = {Keren Zhu and
                  Hao Chen and
                  Walker J. Turner and
                  George F. Kokai and
                  Po{-}Hsuan Wei and
                  David Z. Pan and
                  Haoxing Ren},
  title        = {{TAG:} Learning Circuit Spatial Embedding From Layouts},
  journal      = {CoRR},
  volume       = {abs/2209.03465},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2209.03465},
  doi          = {10.48550/ARXIV.2209.03465},
  eprinttype    = {arXiv},
  eprint       = {2209.03465},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2209-03465.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2209-10098,
  author       = {Jiaqi Gu and
                  Zhengqi Gao and
                  Chenghao Feng and
                  Hanqing Zhu and
                  Ray T. Chen and
                  Duane S. Boning and
                  David Z. Pan},
  title        = {NeurOLight: {A} Physics-Agnostic Neural Operator Enabling Parametric
                  Photonic Device Simulation},
  journal      = {CoRR},
  volume       = {abs/2209.10098},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2209.10098},
  doi          = {10.48550/ARXIV.2209.10098},
  eprinttype    = {arXiv},
  eprint       = {2209.10098},
  timestamp    = {Wed, 28 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2209-10098.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2210-15765,
  author       = {Mingjie Liu and
                  Haoyu Yang and
                  Zongyi Li and
                  Kumara Sastry and
                  Saumyadip Mukhopadhyay and
                  Selim Dogru and
                  Anima Anandkumar and
                  David Z. Pan and
                  Brucek Khailany and
                  Haoxing Ren},
  title        = {An Adversarial Active Sampling-based Data Augmentation Framework for
                  Manufacturable Chip Design},
  journal      = {CoRR},
  volume       = {abs/2210.15765},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2210.15765},
  doi          = {10.48550/ARXIV.2210.15765},
  eprinttype    = {arXiv},
  eprint       = {2210.15765},
  timestamp    = {Wed, 02 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2210-15765.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2210-16724,
  author       = {Hanrui Wang and
                  Pengyu Liu and
                  Jinglei Cheng and
                  Zhiding Liang and
                  Jiaqi Gu and
                  Zirui Li and
                  Yongshan Ding and
                  Weiwen Jiang and
                  Yiyu Shi and
                  Xuehai Qian and
                  David Z. Pan and
                  Frederic T. Chong and
                  Song Han},
  title        = {QuEst: Graph Transformer for Quantum Circuit Reliability Estimation},
  journal      = {CoRR},
  volume       = {abs/2210.16724},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2210.16724},
  doi          = {10.48550/ARXIV.2210.16724},
  eprinttype    = {arXiv},
  eprint       = {2210.16724},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2210-16724.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2211-16749,
  author       = {Jiaqi Gu and
                  Ben Keller and
                  Jean Kossaifi and
                  Anima Anandkumar and
                  Brucek Khailany and
                  David Z. Pan},
  title        = {{HEAT:} Hardware-Efficient Automatic Tensor Decomposition for Transformer
                  Compression},
  journal      = {CoRR},
  volume       = {abs/2211.16749},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2211.16749},
  doi          = {10.48550/ARXIV.2211.16749},
  eprinttype    = {arXiv},
  eprint       = {2211.16749},
  timestamp    = {Fri, 02 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2211-16749.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ChenLXZTLLSP21,
  author       = {Hao Chen and
                  Mingjie Liu and
                  Biying Xu and
                  Keren Zhu and
                  Xiyuan Tang and
                  Shaolan Li and
                  Yibo Lin and
                  Nan Sun and
                  David Z. Pan},
  title        = {{MAGICAL:} An Open- Source Fully Automated Analog {IC} Layout System
                  from Netlist to {GDSII}},
  journal      = {{IEEE} Des. Test},
  volume       = {38},
  number       = {2},
  pages        = {19--26},
  year         = {2021},
  url          = {https://doi.org/10.1109/MDAT.2020.3024153},
  doi          = {10.1109/MDAT.2020.3024153},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/ChenLXZTLLSP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AlawiehLZLHP21,
  author       = {Mohamed Baker Alawieh and
                  Yibo Lin and
                  Zaiwei Zhang and
                  Meng Li and
                  Qixing Huang and
                  David Z. Pan},
  title        = {{GAN-SRAF:} Subresolution Assist Feature Generation Using Generative
                  Adversarial Networks},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {2},
  pages        = {373--385},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.2995338},
  doi          = {10.1109/TCAD.2020.2995338},
  timestamp    = {Tue, 23 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/AlawiehLZLHP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CaiYTLWPZ21,
  author       = {Junzhe Cai and
                  Changhao Yan and
                  Yudong Tao and
                  Yibo Lin and
                  Sheng{-}Guo Wang and
                  David Z. Pan and
                  Xuan Zeng},
  title        = {A Novel and Unified Full-Chip {CMP} Model Aware Dummy Fill Insertion
                  Framework With SQP-Based Optimization Method},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {3},
  pages        = {603--607},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.3001380},
  doi          = {10.1109/TCAD.2020.3001380},
  timestamp    = {Tue, 02 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/CaiYTLWPZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LinJGLDRKP21,
  author       = {Yibo Lin and
                  Zixuan Jiang and
                  Jiaqi Gu and
                  Wuxi Li and
                  Shounak Dhar and
                  Haoxing Ren and
                  Brucek Khailany and
                  David Z. Pan},
  title        = {DREAMPlace: Deep Learning Toolkit-Enabled {GPU} Acceleration for Modern
                  {VLSI} Placement},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {4},
  pages        = {748--761},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.3003843},
  doi          = {10.1109/TCAD.2020.3003843},
  timestamp    = {Thu, 29 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LinJGLDRKP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GuZFYLCP21,
  author       = {Jiaqi Gu and
                  Zheng Zhao and
                  Chenghao Feng and
                  Zhoufeng Ying and
                  Mingjie Liu and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {Toward Hardware-Efficient Optical Neural Networks: Beyond {FFT} Architecture
                  via Joint Learnability},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {9},
  pages        = {1796--1809},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.3027649},
  doi          = {10.1109/TCAD.2020.3027649},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GuZFYLCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiMSZLJYP21,
  author       = {Wei Li and
                  Yuzhe Ma and
                  Qi Sun and
                  Lu Zhang and
                  Yibo Lin and
                  Iris Hui{-}Ru Jiang and
                  Bei Yu and
                  David Z. Pan},
  title        = {OpenMPL: An Open-Source Layout Decomposer},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {40},
  number       = {11},
  pages        = {2331--2344},
  year         = {2021},
  url          = {https://doi.org/10.1109/TCAD.2020.3042175},
  doi          = {10.1109/TCAD.2020.3042175},
  timestamp    = {Tue, 12 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/LiMSZLJYP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aaai/GuFZYCP21,
  author       = {Jiaqi Gu and
                  Chenghao Feng and
                  Zheng Zhao and
                  Zhoufeng Ying and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {Efficient On-Chip Learning for Optical Neural Networks Through Power-Aware
                  Sparse Zeroth-Order Optimization},
  booktitle    = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI}
                  2021, Thirty-Third Conference on Innovative Applications of Artificial
                  Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances
                  in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9,
                  2021},
  pages        = {7583--7591},
  publisher    = {{AAAI} Press},
  year         = {2021},
  url          = {https://doi.org/10.1609/aaai.v35i9.16928},
  doi          = {10.1609/AAAI.V35I9.16928},
  timestamp    = {Mon, 04 Sep 2023 12:29:24 +0200},
  biburl       = {https://dblp.org/rec/conf/aaai/GuFZYCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GaoDLZPL21,
  author       = {Xiaohan Gao and
                  Chenhui Deng and
                  Mingjie Liu and
                  Zhiru Zhang and
                  David Z. Pan and
                  Yibo Lin},
  title        = {Layout Symmetry Annotation for Analog Circuits with Graph Neural Networks},
  booktitle    = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference,
                  Tokyo, Japan, January 18-21, 2021},
  pages        = {152--157},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3394885.3431545},
  doi          = {10.1145/3394885.3431545},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GaoDLZPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/ChenLT0MSP21,
  author       = {Hao Chen and
                  Mingjie Liu and
                  Xiyuan Tang and
                  Keren Zhu and
                  Abhishek Mukherjee and
                  Nan Sun and
                  David Z. Pan},
  title        = {{MAGICAL} 1.0: An Open-Source Fully-Automated {AMS} Layout Synthesis
                  Framework Verified With a 40-nm 1GS/s {\(\Delta\)}{\(\sum\)} {ADC}},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2021, Austin,
                  TX, USA, April 25-30, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/CICC51472.2021.9431521},
  doi          = {10.1109/CICC51472.2021.9431521},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/ChenLT0MSP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/Yang0TWZLKPLS21,
  author       = {Xiangxing Yang and
                  Keren Zhu and
                  Xiyuan Tang and
                  Meizhi Wang and
                  Mingtao Zhan and
                  Nanshu Lu and
                  Jaydeep P. Kulkarni and
                  David Z. Pan and
                  Yongpan Liu and
                  Nan Sun},
  title        = {An In-Memory-Computing Charge-Domain Ternary {CNN} Classifier},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2021, Austin,
                  TX, USA, April 25-30, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/CICC51472.2021.9431398},
  doi          = {10.1109/CICC51472.2021.9431398},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cicc/Yang0TWZLKPLS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BudakB0SPK21,
  author       = {Ahmet Faruk Budak and
                  Prateek Bhansali and
                  Bo Liu and
                  Nan Sun and
                  David Z. Pan and
                  Chandramouli V. Kashyap},
  title        = {DNN-Opt: An {RL} Inspired Optimization for Analog Circuit Sizing using
                  Deep Neural Networks},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {1219--1224},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586139},
  doi          = {10.1109/DAC18074.2021.9586139},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/BudakB0SPK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Chen0LTSP21,
  author       = {Hao Chen and
                  Keren Zhu and
                  Mingjie Liu and
                  Xiyuan Tang and
                  Nan Sun and
                  David Z. Pan},
  title        = {Universal Symmetry Constraint Extraction for Analog and Mixed-Signal
                  Circuits with Graph Neural Networks},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {1243--1248},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586211},
  doi          = {10.1109/DAC18074.2021.9586211},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Chen0LTSP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GaoLPL21,
  author       = {Xiaohan Gao and
                  Mingjie Liu and
                  David Z. Pan and
                  Yibo Lin},
  title        = {Interactive Analog Layout Editing with Instant Placement Legalization},
  booktitle    = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco,
                  CA, USA, December 5-9, 2021},
  pages        = {1249--1254},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/DAC18074.2021.9586234},
  doi          = {10.1109/DAC18074.2021.9586234},
  timestamp    = {Fri, 12 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GaoLPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuFZYLCP21,
  author       = {Jiaqi Gu and
                  Chenghao Feng and
                  Zheng Zhao and
                  Zhoufeng Ying and
                  Mingjie Liu and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {SqueezeLight: Towards Scalable Optical Neural Networks with Multi-Operand
                  Ring Resonators},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {238--243},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474147},
  doi          = {10.23919/DATE51398.2021.9474147},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GuFZYLCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RaiNMZYYFMPRABC21,
  author       = {Shubham Rai and
                  Walter Lau Neto and
                  Yukio Miyasaka and
                  Xinpei Zhang and
                  Mingfei Yu and
                  Qingyang Yi and
                  Masahiro Fujita and
                  Guilherme B. Manske and
                  Matheus F. Pontes and
                  Leomar S. da Rosa and
                  Marilton S. de Aguiar and
                  Paulo F. Butzen and
                  Po{-}Chun Chien and
                  Yu{-}Shan Huang and
                  Hoa{-}Ren Wang and
                  Jie{-}Hong R. Jiang and
                  Jiaqi Gu and
                  Zheng Zhao and
                  Zixuan Jiang and
                  David Z. Pan and
                  Brunno A. Abreu and
                  Isac de Souza Campos and
                  Augusto Andre Souza Berndt and
                  Cristina Meinhardt and
                  J{\^{o}}nata Tyska Carvalho and
                  Mateus Grellert and
                  Sergio Bampi and
                  Aditya Lohana and
                  Akash Kumar and
                  Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu and
                  Yuan Zhou and
                  Jordan Dotzel and
                  Yichi Zhang and
                  Hanyu Wang and
                  Zhiru Zhang and
                  Valerio Tenace and
                  Pierre{-}Emmanuel Gaillardon and
                  Alan Mishchenko and
                  Satrajit Chatterjee},
  title        = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1026--1031},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473972},
  doi          = {10.23919/DATE51398.2021.9473972},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RaiNMZYYFMPRABC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuZFYCP21,
  author       = {Jiaqi Gu and
                  Zheng Zhao and
                  Chenghao Feng and
                  Zhoufeng Ying and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {{O2NN:} Optical Neural Networks with Differential Detection-Enabled
                  Optical Operands},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1062--1067},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474203},
  doi          = {10.23919/DATE51398.2021.9474203},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GuZFYCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiuTKKPR21,
  author       = {Mingjie Liu and
                  Walker J. Turner and
                  George F. Kokai and
                  Brucek Khailany and
                  David Z. Pan and
                  Haoxing Ren},
  title        = {Parasitic-Aware Analog Circuit Sizing with Graph Neural Networks and
                  Bayesian Optimization},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1372--1377},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9474253},
  doi          = {10.23919/DATE51398.2021.9474253},
  timestamp    = {Wed, 21 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiuTKKPR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuT0CSP21,
  author       = {Mingjie Liu and
                  Xiyuan Tang and
                  Keren Zhu and
                  Hao Chen and
                  Nan Sun and
                  David Z. Pan},
  title        = {OpenSAR: An Open Source Automated End-to-end {SAR} {ADC} Compiler},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2021, Munich, Germany, November 1-4, 2021},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCAD51958.2021.9643494},
  doi          = {10.1109/ICCAD51958.2021.9643494},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuT0CSP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccv/GuZFLJCP21,
  author       = {Jiaqi Gu and
                  Hanqing Zhu and
                  Chenghao Feng and
                  Mingjie Liu and
                  Zixuan Jiang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {Towards Memory-Efficient Neural Networks via Multi-Level in situ Generation},
  booktitle    = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV}
                  2021, Montreal, QC, Canada, October 10-17, 2021},
  pages        = {5209--5218},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCV48922.2021.00518},
  doi          = {10.1109/ICCV48922.2021.00518},
  timestamp    = {Fri, 11 Mar 2022 10:01:27 +0100},
  biburl       = {https://dblp.org/rec/conf/iccv/GuZFLJCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/TangYLSPS21,
  author       = {Xiyuan Tang and
                  Xiangxing Yang and
                  Jiaxin Liu and
                  Wei Shi and
                  David Z. Pan and
                  Nan Sun},
  title        = {A 0.4-to-40MS/s 75.7dB-SNDR Fully Dynamic Event-Driven Pipelined {ADC}
                  with 3-Stage Cascoded Floating Inverter Amplifier},
  booktitle    = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021,
                  San Francisco, CA, USA, February 13-22, 2021},
  pages        = {376--378},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISSCC42613.2021.9365753},
  doi          = {10.1109/ISSCC42613.2021.9365753},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/TangYLSPS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mlcad/AlawiehP21,
  author       = {Mohamed Baker Alawieh and
                  David Z. Pan},
  title        = {{ADAPT:} An Adaptive Machine Learning Framework with Application to
                  Lithography Hotspot Detection},
  booktitle    = {3rd {ACM/IEEE} Workshop on Machine Learning for CAD, {MLCAD} 2021,
                  Raleigh, NC, USA, August 30 - Sept. 3, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MLCAD52597.2021.9531210},
  doi          = {10.1109/MLCAD52597.2021.9531210},
  timestamp    = {Fri, 17 Sep 2021 14:46:40 +0200},
  biburl       = {https://dblp.org/rec/conf/mlcad/AlawiehP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mlcad/JiangSWGMJLP21,
  author       = {Zixuan Jiang and
                  Ebrahim M. Songhori and
                  Shen Wang and
                  Anna Goldie and
                  Azalia Mirhoseini and
                  Joe W. J. Jiang and
                  Young{-}Joon Lee and
                  David Z. Pan},
  title        = {Delving into Macro Placement with Reinforcement Learning},
  booktitle    = {3rd {ACM/IEEE} Workshop on Machine Learning for CAD, {MLCAD} 2021,
                  Raleigh, NC, USA, August 30 - Sept. 3, 2021},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/MLCAD52597.2021.9531313},
  doi          = {10.1109/MLCAD52597.2021.9531313},
  timestamp    = {Fri, 29 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mlcad/JiangSWGMJLP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nips/GuZFJCP21,
  author       = {Jiaqi Gu and
                  Hanqing Zhu and
                  Chenghao Feng and
                  Zixuan Jiang and
                  Ray T. Chen and
                  David Z. Pan},
  editor       = {Marc'Aurelio Ranzato and
                  Alina Beygelzimer and
                  Yann N. Dauphin and
                  Percy Liang and
                  Jennifer Wortman Vaughan},
  title        = {L2ight: Enabling On-Chip Learning for Optical Neural Networks via
                  Efficient in-situ Subspace Optimization},
  booktitle    = {Advances in Neural Information Processing Systems 34: Annual Conference
                  on Neural Information Processing Systems 2021, NeurIPS 2021, December
                  6-14, 2021, virtual},
  pages        = {8649--8661},
  year         = {2021},
  url          = {https://proceedings.neurips.cc/paper/2021/hash/48aedb8880cab8c45637abc7493ecddd-Abstract.html},
  timestamp    = {Tue, 03 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nips/GuZFJCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2104-00237,
  author       = {Zixuan Jiang and
                  Jiaqi Gu and
                  Mingjie Liu and
                  Keren Zhu and
                  David Z. Pan},
  title        = {Optimizer Fusion: Efficient Training with Better Locality and Parallelism},
  journal      = {CoRR},
  volume       = {abs/2104.00237},
  year         = {2021},
  url          = {https://arxiv.org/abs/2104.00237},
  eprinttype    = {arXiv},
  eprint       = {2104.00237},
  timestamp    = {Mon, 12 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2104-00237.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2107-10845,
  author       = {Hanrui Wang and
                  Yongshan Ding and
                  Jiaqi Gu and
                  Yujun Lin and
                  David Z. Pan and
                  Frederic T. Chong and
                  Song Han},
  title        = {QuantumNAS: Noise-Adaptive Search for Robust Quantum Circuits},
  journal      = {CoRR},
  volume       = {abs/2107.10845},
  year         = {2021},
  url          = {https://arxiv.org/abs/2107.10845},
  eprinttype    = {arXiv},
  eprint       = {2107.10845},
  timestamp    = {Thu, 28 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2107-10845.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2108-11430,
  author       = {Jiaqi Gu and
                  Hanqing Zhu and
                  Chenghao Feng and
                  Mingjie Liu and
                  Zixuan Jiang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {Towards Memory-Efficient Neural Networks via Multi-Level in situ Generation},
  journal      = {CoRR},
  volume       = {abs/2108.11430},
  year         = {2021},
  url          = {https://arxiv.org/abs/2108.11430},
  eprinttype    = {arXiv},
  eprint       = {2108.11430},
  timestamp    = {Fri, 27 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2108-11430.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2109-02587,
  author       = {Zixuan Jiang and
                  Ebrahim M. Songhori and
                  Shen Wang and
                  Anna Goldie and
                  Azalia Mirhoseini and
                  Joe W. J. Jiang and
                  Young{-}Joon Lee and
                  David Z. Pan},
  title        = {Delving into Macro Placement with Reinforcement Learning},
  journal      = {CoRR},
  volume       = {abs/2109.02587},
  year         = {2021},
  url          = {https://arxiv.org/abs/2109.02587},
  eprinttype    = {arXiv},
  eprint       = {2109.02587},
  timestamp    = {Fri, 29 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2109-02587.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2110-00211,
  author       = {Ahmet Faruk Budak and
                  Prateek Bhansali and
                  Bo Liu and
                  Nan Sun and
                  David Z. Pan and
                  Chandramouli V. Kashyap},
  title        = {DNN-Opt: An {RL} Inspired Optimization for Analog Circuit Sizing using
                  Deep Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2110.00211},
  year         = {2021},
  url          = {https://arxiv.org/abs/2110.00211},
  eprinttype    = {arXiv},
  eprint       = {2110.00211},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-00211.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2110-01172,
  author       = {Zixuan Jiang and
                  Jiaqi Gu and
                  David Z. Pan},
  title        = {A New Acceleration Paradigm for Discrete CosineTransform and Other
                  Fourier-Related Transforms},
  journal      = {CoRR},
  volume       = {abs/2110.01172},
  year         = {2021},
  url          = {https://arxiv.org/abs/2110.01172},
  eprinttype    = {arXiv},
  eprint       = {2110.01172},
  timestamp    = {Fri, 08 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-01172.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2110-11331,
  author       = {Hanrui Wang and
                  Jiaqi Gu and
                  Yongshan Ding and
                  Zirui Li and
                  Frederic T. Chong and
                  David Z. Pan and
                  Song Han},
  title        = {RoQNN: Noise-Aware Training for Robust Quantum Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2110.11331},
  year         = {2021},
  url          = {https://arxiv.org/abs/2110.11331},
  eprinttype    = {arXiv},
  eprint       = {2110.11331},
  timestamp    = {Thu, 28 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-11331.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2110-14807,
  author       = {Jiaqi Gu and
                  Hanqing Zhu and
                  Chenghao Feng and
                  Zixuan Jiang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {L2ight: Enabling On-Chip Learning for Optical Neural Networks via
                  Efficient in-situ Subspace Optimization},
  journal      = {CoRR},
  volume       = {abs/2110.14807},
  year         = {2021},
  url          = {https://arxiv.org/abs/2110.14807},
  eprinttype    = {arXiv},
  eprint       = {2110.14807},
  timestamp    = {Tue, 02 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2110-14807.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2111-01236,
  author       = {Jiaqi Gu and
                  Hyoukjun Kwon and
                  Dilin Wang and
                  Wei Ye and
                  Meng Li and
                  Yu{-}Hsin Chen and
                  Liangzhen Lai and
                  Vikas Chandra and
                  David Z. Pan},
  title        = {Multi-Scale High-Resolution Vision Transformer for Semantic Segmentation},
  journal      = {CoRR},
  volume       = {abs/2111.01236},
  year         = {2021},
  url          = {https://arxiv.org/abs/2111.01236},
  eprinttype    = {arXiv},
  eprint       = {2111.01236},
  timestamp    = {Thu, 04 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2111-01236.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2111-06705,
  author       = {Chenghao Feng and
                  Jiaqi Gu and
                  Hanqing Zhu and
                  Zhoufeng Ying and
                  Zheng Zhao and
                  David Z. Pan and
                  Ray T. Chen},
  title        = {Silicon photonic subspace neural chip for hardware-efficient deep
                  learning},
  journal      = {CoRR},
  volume       = {abs/2111.06705},
  year         = {2021},
  url          = {https://arxiv.org/abs/2111.06705},
  eprinttype    = {arXiv},
  eprint       = {2111.06705},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2111-06705.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2112-08512,
  author       = {Hanqing Zhu and
                  Jiaqi Gu and
                  Chenghao Feng and
                  Mingjie Liu and
                  Zixuan Jiang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {ELight: Enabling Efficient Photonic In-Memory Neurocomputing with
                  Life Enhancement},
  journal      = {CoRR},
  volume       = {abs/2112.08512},
  year         = {2021},
  url          = {https://arxiv.org/abs/2112.08512},
  eprinttype    = {arXiv},
  eprint       = {2112.08512},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-08512.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2112-08703,
  author       = {Jiaqi Gu and
                  Hanqing Zhu and
                  Chenghao Feng and
                  Zixuan Jiang and
                  Mingjie Liu and
                  Shuhan Zhang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {{ADEPT:} Automatic Differentiable DEsign of Photonic Tensor Cores},
  journal      = {CoRR},
  volume       = {abs/2112.08703},
  year         = {2021},
  url          = {https://arxiv.org/abs/2112.08703},
  eprinttype    = {arXiv},
  eprint       = {2112.08703},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-08703.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/ChenALZZGP20,
  author       = {Jing Chen and
                  Mohamed Baker Alawieh and
                  Yibo Lin and
                  Maolin Zhang and
                  Jun Zhang and
                  Yufeng Guo and
                  David Z. Pan},
  title        = {Powernet: {SOI} Lateral Power Device Breakdown Prediction With Deep
                  Neural Networks},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {25372--25382},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.2970966},
  doi          = {10.1109/ACCESS.2020.2970966},
  timestamp    = {Wed, 16 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/ChenALZZGP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Pan20,
  author       = {David Z. Pan},
  title        = {Report on the 38th {ACM/IEEE} International Conference on Computer-Aided
                  Design {(ICCAD} 2019)},
  journal      = {{IEEE} Des. Test},
  volume       = {37},
  number       = {2},
  pages        = {121--122},
  year         = {2020},
  url          = {https://doi.org/10.1109/MDAT.2020.2964756},
  doi          = {10.1109/MDAT.2020.2964756},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/Pan20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhaoLXYTSLPS20,
  author       = {Wenda Zhao and
                  Shaolan Li and
                  Biying Xu and
                  Xiangxing Yang and
                  Xiyuan Tang and
                  Linxiao Shen and
                  Nanshu Lu and
                  David Z. Pan and
                  Nan Sun},
  title        = {A 0.025-mm\({}^{\mbox{2}}\) 0.8-V 78.5-dB {SNDR} VCO-Based Sensor
                  Readout Circuit in a Hybrid {PLL-} {\(\Delta\)}{\(\Sigma\)} {M} Structure},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {3},
  pages        = {666--679},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2019.2959479},
  doi          = {10.1109/JSSC.2019.2959479},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhaoLXYTSLPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TangSKYSMPS20,
  author       = {Xiyuan Tang and
                  Linxiao Shen and
                  Begum Kasap and
                  Xiangxing Yang and
                  Wei Shi and
                  Abhishek Mukherjee and
                  David Z. Pan and
                  Nan Sun},
  title        = {An Energy-Efficient Comparator With Dynamic Floating Inverter Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {4},
  pages        = {1011--1022},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2019.2960485},
  doi          = {10.1109/JSSC.2019.2960485},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TangSKYSMPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiPS20,
  author       = {Shaolan Li and
                  David Z. Pan and
                  Nan Sun},
  title        = {An OTA-Less Second-Order VCO-Based {CT} {\textdollar}{\textbackslash}Delta{\textbackslash}Sigma{\textdollar}
                  Modulator Using an Inherent Passive Integrator and Capacitive Feedback},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {5},
  pages        = {1337--1350},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2019.2941007},
  doi          = {10.1109/JSSC.2019.2941007},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TangLYSZWLTHPS20,
  author       = {Xiyuan Tang and
                  Shaolan Li and
                  Xiangxing Yang and
                  Linxiao Shen and
                  Wenda Zhao and
                  Randall P. Williams and
                  Jiaxin Liu and
                  Zhichao Tan and
                  Neal A. Hall and
                  David Z. Pan and
                  Nan Sun},
  title        = {An Energy-Efficient Time-Domain Incremental Zoom Capacitance-to-Digital
                  Converter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {11},
  pages        = {3064--3075},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2020.3005812},
  doi          = {10.1109/JSSC.2020.3005812},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TangLYSZWLTHPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TangYZHLSMSLPS20,
  author       = {Xiyuan Tang and
                  Xiangxing Yang and
                  Wenda Zhao and
                  Chen{-}Kai Hsu and
                  Jiaxin Liu and
                  Linxiao Shen and
                  Abhishek Mukherjee and
                  Wei Shi and
                  Shaolan Li and
                  David Z. Pan and
                  Nan Sun},
  title        = {A 13.5-ENOB, 107-{\(\mu\)}W Noise-Shaping {SAR} {ADC} With PVT-Robust
                  Closed-Loop Dynamic Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {55},
  number       = {12},
  pages        = {3248--3259},
  year         = {2020},
  url          = {https://doi.org/10.1109/JSSC.2020.3020194},
  doi          = {10.1109/JSSC.2020.3020194},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TangYZHLSMSLPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChenLGSWP20,
  author       = {Ying Chen and
                  Yibo Lin and
                  Tianyang Gai and
                  Yajuan Su and
                  Yayi Wei and
                  David Z. Pan},
  title        = {Semisupervised Hotspot Detection With Self-Paced Multitask Learning},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {7},
  pages        = {1511--1523},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2019.2912948},
  doi          = {10.1109/TCAD.2019.2912948},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChenLGSWP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhangLLYPBSS20,
  author       = {Grace Li Zhang and
                  Bing Li and
                  Meng Li and
                  Bei Yu and
                  David Z. Pan and
                  Michaela Brunner and
                  Georg Sigl and
                  Ulf Schlichtmann},
  title        = {TimingCamouflage+: Netlist Security Enhancement With Unconventional
                  Timing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {12},
  pages        = {4482--4495},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.2974338},
  doi          = {10.1109/TCAD.2020.2974338},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhangLLYPBSS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LinLGRKP20,
  author       = {Yibo Lin and
                  Wuxi Li and
                  Jiaqi Gu and
                  Haoxing Ren and
                  Brucek Khailany and
                  David Z. Pan},
  title        = {ABCDPlace: Accelerated Batch-Based Concurrent Detailed Placement on
                  Multithreaded CPUs and GPUs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {12},
  pages        = {5083--5096},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.2971531},
  doi          = {10.1109/TCAD.2020.2971531},
  timestamp    = {Tue, 01 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/LinLGRKP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KwonIPY20,
  author       = {Taehyun Kwon and
                  Muhammad Imran and
                  David Z. Pan and
                  Joon{-}Sung Yang},
  title        = {Virtual-Tile-Based Flip-Flop Alignment Methodology for Clock Network
                  Power Optimization},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {5},
  pages        = {1256--1268},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2020.2966912},
  doi          = {10.1109/TVLSI.2020.2966912},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KwonIPY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AlawiehLLSIP20,
  author       = {Mohamed Baker Alawieh and
                  Wuxi Li and
                  Yibo Lin and
                  Love Singhal and
                  Mahesh A. Iyer and
                  David Z. Pan},
  title        = {High-Definition Routing Congestion Prediction for Large-Scale FPGAs},
  booktitle    = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2020, Beijing, China, January 13-16, 2020},
  pages        = {26--31},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ASP-DAC47756.2020.9045178},
  doi          = {10.1109/ASP-DAC47756.2020.9045178},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/AlawiehLLSIP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiuLZXLSTSP20,
  author       = {Mingjie Liu and
                  Wuxi Li and
                  Keren Zhu and
                  Biying Xu and
                  Yibo Lin and
                  Linxiao Shen and
                  Xiyuan Tang and
                  Nan Sun and
                  David Z. Pan},
  title        = {S\({}^{\mbox{3}}\)DET: Detecting System Symmetry Constraints for Analog
                  Circuits with Graph Similarity},
  booktitle    = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2020, Beijing, China, January 13-16, 2020},
  pages        = {193--198},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ASP-DAC47756.2020.9045109},
  doi          = {10.1109/ASP-DAC47756.2020.9045109},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiuLZXLSTSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GuZFLCP20,
  author       = {Jiaqi Gu and
                  Zheng Zhao and
                  Chenghao Feng and
                  Mingjie Liu and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {Towards Area-Efficient Optical Neural Networks: An FFT-based Architecture},
  booktitle    = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2020, Beijing, China, January 13-16, 2020},
  pages        = {476--481},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ASP-DAC47756.2020.9045156},
  doi          = {10.1109/ASP-DAC47756.2020.9045156},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GuZFLCP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AlawiehBP20,
  author       = {Mohamed Baker Alawieh and
                  Duane S. Boning and
                  David Z. Pan},
  title        = {Wafer Map Defect Patterns Classification using Deep Selective Learning},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218580},
  doi          = {10.1109/DAC18072.2020.9218580},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AlawiehBP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuZFLCP20,
  author       = {Jiaqi Gu and
                  Zheng Zhao and
                  Chenghao Feng and
                  Wuxi Li and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {{FLOPS:} EFficient On-Chip Learning for OPtical Neural Networks Through
                  Stochastic Zeroth-Order Optimization},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218593},
  doi          = {10.1109/DAC18072.2020.9218593},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GuZFLCP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KhoshaviRBSBP20,
  author       = {Navid Khoshavi and
                  Arman Roohi and
                  Connor Broyles and
                  Saman Sargolzaei and
                  Yu Bi and
                  David Z. Pan},
  title        = {SHIELDeNN: Online Accelerated Framework for Fault-Tolerant Deep Neural
                  Network Architectures},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218697},
  doi          = {10.1109/DAC18072.2020.9218697},
  timestamp    = {Wed, 14 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KhoshaviRBSBP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Liu0TXSSP20,
  author       = {Mingjie Liu and
                  Keren Zhu and
                  Xiyuan Tang and
                  Biying Xu and
                  Wei Shi and
                  Nan Sun and
                  David Z. Pan},
  title        = {Closing the Design Loop: Bayesian Optimization Assisted Hierarchical
                  Analog Layout Synthesis},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218621},
  doi          = {10.1109/DAC18072.2020.9218621},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/Liu0TXSSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiuZGSTSP20,
  author       = {Mingjie Liu and
                  Keren Zhu and
                  Jiaqi Gu and
                  Linxiao Shen and
                  Xiyuan Tang and
                  Nan Sun and
                  David Z. Pan},
  title        = {Towards Decrypting the Art of Analog Layout: Placement Quality Prediction
                  via Transfer Learning},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {496--501},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116330},
  doi          = {10.23919/DATE48585.2020.9116330},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LiuZGSTSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuZFZCP20,
  author       = {Jiaqi Gu and
                  Zheng Zhao and
                  Chenghao Feng and
                  Hanqing Zhu and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {{ROQ:} {A} Noise-Aware Quantization Scheme Towards Robust Optical
                  Neural Networks with Low-bit Controls},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1586--1589},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116521},
  doi          = {10.23919/DATE48585.2020.9116521},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/GuZFZCP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eccv/JiangZLGP20,
  author       = {Zixuan Jiang and
                  Keren Zhu and
                  Mingjie Liu and
                  Jiaqi Gu and
                  David Z. Pan},
  editor       = {Andrea Vedaldi and
                  Horst Bischof and
                  Thomas Brox and
                  Jan{-}Michael Frahm},
  title        = {An Efficient Training Framework for Reversible Neural Architectures},
  booktitle    = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow,
                  UK, August 23-28, 2020, Proceedings, Part {XXVII}},
  series       = {Lecture Notes in Computer Science},
  volume       = {12372},
  pages        = {275--289},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-58583-9\_17},
  doi          = {10.1007/978-3-030-58583-9\_17},
  timestamp    = {Tue, 01 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/eccv/JiangZLGP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/RajarathnamLJP20,
  author       = {Rachel Selina Rajarathnam and
                  Yibo Lin and
                  Yier Jin and
                  David Z. Pan},
  title        = {ReGDS: {A} Reverse Engineering Framework from {GDSII} to Gate-level
                  Netlist},
  booktitle    = {2020 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2020, San Jose, CA, USA, December 7-11, 2020},
  pages        = {154--163},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HOST45689.2020.9300272},
  doi          = {10.1109/HOST45689.2020.9300272},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/RajarathnamLJP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlawiehYP20,
  author       = {Mohamed Baker Alawieh and
                  Wei Ye and
                  David Z. Pan},
  title        = {Re-examining {VLSI} Manufacturing and Yield through the Lens of Deep
                  Learning : (Invited Talk)},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {12:1--12:8},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415779},
  doi          = {10.1145/3400302.3415779},
  timestamp    = {Mon, 18 Jan 2021 09:56:56 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AlawiehYP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Chen0LTSP20,
  author       = {Hao Chen and
                  Keren Zhu and
                  Mingjie Liu and
                  Xiyuan Tang and
                  Nan Sun and
                  David Z. Pan},
  title        = {Toward Silicon-Proven Detailed Routing for Analog and Mixed-Signal
                  Circuits},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {18:1--18:8},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415660},
  doi          = {10.1145/3400302.3415660},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Chen0LTSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/0001CLTSP20,
  author       = {Keren Zhu and
                  Hao Chen and
                  Mingjie Liu and
                  Xiyuan Tang and
                  Nan Sun and
                  David Z. Pan},
  title        = {Effective Analog/Mixed-Signal Circuit Placement Considering System
                  Signal Flow},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {133:1--133:9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415625},
  doi          = {10.1145/3400302.3415625},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/0001CLTSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuJLP20,
  author       = {Jiaqi Gu and
                  Zixuan Jiang and
                  Yibo Lin and
                  David Z. Pan},
  title        = {DREAMPlace 3.0: Multi-Electrostatics Based Robust {VLSI} Placement
                  with Region Constraints},
  booktitle    = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD}
                  2020, San Diego, CA, USA, November 2-5, 2020},
  pages        = {143:1--143:9},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400302.3415691},
  doi          = {10.1145/3400302.3415691},
  timestamp    = {Mon, 18 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GuJLP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/YeAWNLP20,
  author       = {Wei Ye and
                  Mohamed Baker Alawieh and
                  Yuki Watanabe and
                  Shigeki Nojima and
                  Yibo Lin and
                  David Z. Pan},
  editor       = {William Swartz and
                  Jens Lienig},
  title        = {{TEMPO:} Fast Mask Topography Effect Modeling with Deep Learning},
  booktitle    = {{ISPD} 2020: International Symposium on Physical Design, Taipei, Taiwan,
                  March 29 - April 1, 2020, delayed to September 20-23, 2020},
  pages        = {127--134},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3372780.3375565},
  doi          = {10.1145/3372780.3375565},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/YeAWNLP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isscc/TangYZHLSMSPS20,
  author       = {Xiyuan Tang and
                  Xiangxing Yang and
                  Wenda Zhao and
                  Chen{-}Kai Hsu and
                  Jiaxin Liu and
                  Linxiao Shen and
                  Abhishek Mukherjee and
                  Wei Shi and
                  David Z. Pan and
                  Nan Sun},
  title        = {9.5 {A} 13.5b-ENOB Second-Order Noise-Shaping {SAR} with PVT-Robust
                  Closed-Loop Dynamic Amplifier},
  booktitle    = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC}
                  2020, San Francisco, CA, USA, February 16-20, 2020},
  pages        = {162--164},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISSCC19947.2020.9063058},
  doi          = {10.1109/ISSCC19947.2020.9063058},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isscc/TangYZHLSMSPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mlcad/GalPRPWZ20,
  author       = {Raviv Gal and
                  David Z. Pan and
                  Haoxing Ren and
                  Manish Pandey and
                  Marilyn Wolf and
                  Avi Ziv},
  editor       = {Ulf Schlichtmann and
                  Raviv Gal and
                  Hussam Amrouch and
                  Hai (Helen) Li},
  title        = {{ML} for {CAD} - Where is the Treasure Hiding?},
  booktitle    = {{MLCAD} '20: 2020 {ACM/IEEE} Workshop on Machine Learning for CAD,
                  Virtual Event, Iceland, November 16-20, 2020},
  pages        = {137},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3380446.3431818},
  doi          = {10.1145/3380446.3431818},
  timestamp    = {Mon, 03 May 2021 16:42:27 +0200},
  biburl       = {https://dblp.org/rec/conf/mlcad/GalPRPWZ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mlcad/0001LCZP20,
  author       = {Keren Zhu and
                  Mingjie Liu and
                  Hao Chen and
                  Zheng Zhao and
                  David Z. Pan},
  editor       = {Ulf Schlichtmann and
                  Raviv Gal and
                  Hussam Amrouch and
                  Hai (Helen) Li},
  title        = {Exploring Logic Optimizations with Reinforcement Learning and Graph
                  Convolutional Network},
  booktitle    = {{MLCAD} '20: 2020 {ACM/IEEE} Workshop on Machine Learning for CAD,
                  Virtual Event, Iceland, November 16-20, 2020},
  pages        = {145--150},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3380446.3430622},
  doi          = {10.1145/3380446.3430622},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mlcad/0001LCZP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2003-00862,
  author       = {Grace Li Zhang and
                  Bing Li and
                  Meng Li and
                  Bei Yu and
                  David Z. Pan and
                  Michaela Brunner and
                  Georg Sigl and
                  Ulf Schlichtmann},
  title        = {TimingCamouflage+: Netlist Security Enhancement with Unconventional
                  Timing (with Appendix)},
  journal      = {CoRR},
  volume       = {abs/2003.00862},
  year         = {2020},
  url          = {https://arxiv.org/abs/2003.00862},
  eprinttype    = {arXiv},
  eprint       = {2003.00862},
  timestamp    = {Wed, 25 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2003-00862.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2012-02530,
  author       = {Shubham Rai and
                  Walter Lau Neto and
                  Yukio Miyasaka and
                  Xinpei Zhang and
                  Mingfei Yu and
                  Qingyang Yi and
                  Masahiro Fujita and
                  Guilherme B. Manske and
                  Matheus F. Pontes and
                  Leomar S. da Rosa Jr. and
                  Marilton S. de Aguiar and
                  Paulo F. Butzen and
                  Po{-}Chun Chien and
                  Yu{-}Shan Huang and
                  Hoa{-}Ren Wang and
                  Jie{-}Hong R. Jiang and
                  Jiaqi Gu and
                  Zheng Zhao and
                  Zixuan Jiang and
                  David Z. Pan and
                  Brunno A. Abreu and
                  Isac de Souza Campos and
                  Augusto Andre Souza Berndt and
                  Cristina Meinhardt and
                  J{\^{o}}nata Tyska Carvalho and
                  Mateus Grellert and
                  Sergio Bampi and
                  Aditya Lohana and
                  Akash Kumar and
                  Wei Zeng and
                  Azadeh Davoodi and
                  Rasit Onur Topaloglu and
                  Yuan Zhou and
                  Jordan Dotzel and
                  Yichi Zhang and
                  Hanyu Wang and
                  Zhiru Zhang and
                  Valerio Tenace and
                  Pierre{-}Emmanuel Gaillardon and
                  Alan Mishchenko and
                  Satrajit Chatterjee},
  title        = {Logic Synthesis Meets Machine Learning: Trading Exactness for Generalization},
  journal      = {CoRR},
  volume       = {abs/2012.02530},
  year         = {2020},
  url          = {https://arxiv.org/abs/2012.02530},
  eprinttype    = {arXiv},
  eprint       = {2012.02530},
  timestamp    = {Thu, 24 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2012-02530.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2012-11148,
  author       = {Jiaqi Gu and
                  Chenghao Feng and
                  Zheng Zhao and
                  Zhoufeng Ying and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {Efficient On-Chip Learning for Optical Neural Networks Through Power-Aware
                  Sparse Zeroth-Order Optimization},
  journal      = {CoRR},
  volume       = {abs/2012.11148},
  year         = {2020},
  url          = {https://arxiv.org/abs/2012.11148},
  eprinttype    = {arXiv},
  eprint       = {2012.11148},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2012-11148.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiuYLCDVSP19,
  author       = {Derong Liu and
                  Bei Yu and
                  Vinicius S. Livramento and
                  Salim Chowdhury and
                  Duo Ding and
                  Huy Vo and
                  Akshay Sharma and
                  David Z. Pan},
  title        = {Synergistic Topology Generation and Route Synthesis for On-Chip Performance-Critical
                  Signal Groups},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {6},
  pages        = {1147--1160},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2834424},
  doi          = {10.1109/TCAD.2018.2834424},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiuYLCDVSP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiSMZYJP19,
  author       = {Meng Li and
                  Kaveh Shamsi and
                  Travis Meade and
                  Zheng Zhao and
                  Bei Yu and
                  Yier Jin and
                  David Z. Pan},
  title        = {Provably Secure Camouflaging Strategy for {IC} Protection},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {8},
  pages        = {1399--1412},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2017.2750088},
  doi          = {10.1109/TCAD.2017.2750088},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiSMZYJP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiYLXLP19,
  author       = {Meng Li and
                  Bei Yu and
                  Yibo Lin and
                  Xiaoqing Xu and
                  Wuxi Li and
                  David Z. Pan},
  title        = {A Practical Split Manufacturing Framework for Trojan Prevention via
                  Simultaneous Wire Lifting and Cell Insertion},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {9},
  pages        = {1585--1598},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2859402},
  doi          = {10.1109/TCAD.2018.2859402},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiYLXLP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/Lin0WKMNP19,
  author       = {Yibo Lin and
                  Meng Li and
                  Yuki Watanabe and
                  Taiki Kimura and
                  Tetsuaki Matsunawa and
                  Shigeki Nojima and
                  David Z. Pan},
  title        = {Data Efficient Lithography Modeling With Transfer Learning and Active
                  Data Selection},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {10},
  pages        = {1900--1913},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2864251},
  doi          = {10.1109/TCAD.2018.2864251},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/Lin0WKMNP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiP19,
  author       = {Wuxi Li and
                  David Z. Pan},
  title        = {A New Paradigm for {FPGA} Placement Without Explicit Packing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {11},
  pages        = {2113--2126},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2877017},
  doi          = {10.1109/TCAD.2018.2877017},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tifs/ShamsiMLPJ19,
  author       = {Kaveh Shamsi and
                  Travis Meade and
                  Meng Li and
                  David Z. Pan and
                  Yier Jin},
  title        = {On the Approximation Resiliency of Logic Locking and {IC} Camouflaging
                  Schemes},
  journal      = {{IEEE} Trans. Inf. Forensics Secur.},
  volume       = {14},
  number       = {2},
  pages        = {347--359},
  year         = {2019},
  url          = {https://doi.org/10.1109/TIFS.2018.2850319},
  doi          = {10.1109/TIFS.2018.2850319},
  timestamp    = {Thu, 06 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tifs/ShamsiMLPJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ShamsiLPFPJ19,
  author       = {Kaveh Shamsi and
                  Meng Li and
                  Kenneth Plaks and
                  Saverio Fazzari and
                  David Z. Pan and
                  Yier Jin},
  title        = {{IP} Protection and Supply Chain Security through Logic Obfuscation:
                  {A} Systematic Overview},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {24},
  number       = {6},
  pages        = {65:1--65:36},
  year         = {2019},
  url          = {https://doi.org/10.1145/3342099},
  doi          = {10.1145/3342099},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/ShamsiLPFPJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/LiMSLJYP19,
  author       = {Wei Li and
                  Yuzhe Ma and
                  Qi Sun and
                  Yibo Lin and
                  Iris Hui{-}Ru Jiang and
                  Bei Yu and
                  David Z. Pan},
  title        = {OpenMPL: An Open Source Layout Decomposer: Invited Paper},
  booktitle    = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing,
                  China, October 29 - November 1, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ASICON47005.2019.8983622},
  doi          = {10.1109/ASICON47005.2019.8983622},
  timestamp    = {Tue, 12 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asicon/LiMSLJYP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YeALP19,
  author       = {Wei Ye and
                  Mohamed Baker Alawieh and
                  Yibo Lin and
                  David Z. Pan},
  editor       = {Toshiyuki Shibuya},
  title        = {Tackling signal electromigration with learning-based detection and
                  multistage mitigation},
  booktitle    = {Proceedings of the 24th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019},
  pages        = {167--172},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3287624.3287688},
  doi          = {10.1145/3287624.3287688},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YeALP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AlawiehTP19,
  author       = {Mohamed Baker Alawieh and
                  Xiyuan Tang and
                  David Z. Pan},
  editor       = {Toshiyuki Shibuya},
  title        = {S\({}^{\mbox{2}}\)-PM: semi-supervised learning for efficient performance
                  modeling of analog and mixed signal circuits},
  booktitle    = {Proceedings of the 24th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019},
  pages        = {268--273},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3287624.3287657},
  doi          = {10.1145/3287624.3287657},
  timestamp    = {Sun, 20 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/AlawiehTP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YeL0LP19,
  author       = {Wei Ye and
                  Yibo Lin and
                  Meng Li and
                  Qiang Liu and
                  David Z. Pan},
  editor       = {Toshiyuki Shibuya},
  title        = {LithoROC: lithography hotspot detection with explicit {ROC} optimization},
  booktitle    = {Proceedings of the 24th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019},
  pages        = {292--298},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3287624.3288746},
  doi          = {10.1145/3287624.3288746},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YeL0LP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenLGSWP19,
  author       = {Ying Chen and
                  Yibo Lin and
                  Tianyang Gai and
                  Yajuan Su and
                  Yayi Wei and
                  David Z. Pan},
  editor       = {Toshiyuki Shibuya},
  title        = {Semi-supervised hotspot detection with self-paced multi-task learning},
  booktitle    = {Proceedings of the 24th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019},
  pages        = {420--425},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3287624.3287685},
  doi          = {10.1145/3287624.3287685},
  timestamp    = {Sun, 20 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenLGSWP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DharSIP19,
  author       = {Shounak Dhar and
                  Love Singhal and
                  Mahesh A. Iyer and
                  David Z. Pan},
  editor       = {Toshiyuki Shibuya},
  title        = {A shape-driven spreading algorithm using linear programming for global
                  placement},
  booktitle    = {Proceedings of the 24th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019},
  pages        = {563--568},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3287624.3287675},
  doi          = {10.1145/3287624.3287675},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/DharSIP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Zhao0LYZXYCP19,
  author       = {Zheng Zhao and
                  Derong Liu and
                  Meng Li and
                  Zhoufeng Ying and
                  Lu Zhang and
                  Biying Xu and
                  Bei Yu and
                  Ray T. Chen and
                  David Z. Pan},
  editor       = {Toshiyuki Shibuya},
  title        = {Hardware-software co-design of slimmed optical neural networks},
  booktitle    = {Proceedings of the 24th Asia and South Pacific Design Automation Conference,
                  {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019},
  pages        = {705--710},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3287624.3287720},
  doi          = {10.1145/3287624.3287720},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Zhao0LYZXYCP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/LiXPS19,
  author       = {Shaolan Li and
                  Biying Xu and
                  David Z. Pan and
                  Nan Sun},
  title        = {A 60-fJ/step 11-ENOB VCO-based {CTDSM} Synthesized from Digital Standard
                  Cell Library},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2019, Austin,
                  TX, USA, April 14-17, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/CICC.2019.8780194},
  doi          = {10.1109/CICC.2019.8780194},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/LiXPS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/LiZXYTSLPS19,
  author       = {Shaolan Li and
                  Wenda Zhao and
                  Biying Xu and
                  Xiangxing Yang and
                  Xiyuan Tang and
                  Linxiao Shen and
                  Nanshu Lu and
                  David Z. Pan and
                  Nan Sun},
  title        = {A 0.025-mm\({}^{\mbox{2}}\) 0.8-V 78.5dB-SNDR VCO-Based Sensor Readout
                  Circuit in a Hybrid PLL-{\(\Delta\)}{\(\Sigma\)}M Structure},
  booktitle    = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2019, Austin,
                  TX, USA, April 14-17, 2019},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/CICC.2019.8780175},
  doi          = {10.1109/CICC.2019.8780175},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/LiZXYTSLPS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AlawiehWP19,
  author       = {Mohamed Baker Alawieh and
                  Sinead A. Williamson and
                  David Z. Pan},
  title        = {Rethinking Sparsity in Performance Modeling for Analog and Mixed Circuits
                  using Spike and Slab Models},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {65},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317896},
  doi          = {10.1145/3316781.3317896},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AlawiehWP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XuLTLSSP19,
  author       = {Biying Xu and
                  Yibo Lin and
                  Xiyuan Tang and
                  Shaolan Li and
                  Linxiao Shen and
                  Nan Sun and
                  David Z. Pan},
  title        = {WellGAN: Generative-Adversarial-Network-Guided Well Generation for
                  Analog/Mixed-Signal Circuit Layout},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {66},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317930},
  doi          = {10.1145/3316781.3317930},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/XuLTLSSP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YeALP19,
  author       = {Wei Ye and
                  Mohamed Baker Alawieh and
                  Yibo Lin and
                  David Z. Pan},
  title        = {LithoGAN: End-to-End Lithography Modeling with Generative Adversarial
                  Networks},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {107},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317852},
  doi          = {10.1145/3316781.3317852},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/YeALP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinDLRKP19,
  author       = {Yibo Lin and
                  Shounak Dhar and
                  Wuxi Li and
                  Haoxing Ren and
                  Brucek Khailany and
                  David Z. Pan},
  title        = {DREAMPlace: Deep Learning Toolkit-Enabled {GPU} Acceleration for Modern
                  {VLSI} Placement},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {117},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317803},
  doi          = {10.1145/3316781.3317803},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LinDLRKP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AlawiehLZ0HP19,
  author       = {Mohamed Baker Alawieh and
                  Yibo Lin and
                  Zaiwei Zhang and
                  Meng Li and
                  Qixing Huang and
                  David Z. Pan},
  title        = {{GAN-SRAF:} Sub-Resolution Assist Feature Generation Using Conditional
                  Generative Adversarial Networks},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {149},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317832},
  doi          = {10.1145/3316781.3317832},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/AlawiehLZ0HP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YeA0LP19,
  author       = {Wei Ye and
                  Mohamed Baker Alawieh and
                  Meng Li and
                  Yibo Lin and
                  David Z. Pan},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {Litho-GPA: Gaussian Process Assurance for Lithography Hotspot Detection},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {54--59},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714960},
  doi          = {10.23919/DATE.2019.8714960},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YeA0LP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/Shamsi0PJ19,
  author       = {Kaveh Shamsi and
                  Meng Li and
                  David Z. Pan and
                  Yier Jin},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {{KC2:} Key-Condition Crunching for Fast Sequential Circuit Deobfuscation},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {534--539},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8715053},
  doi          = {10.23919/DATE.2019.8715053},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/Shamsi0PJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/Zhao0YXFCP19,
  author       = {Zheng Zhao and
                  Derong Liu and
                  Zhoufeng Ying and
                  Biying Xu and
                  Chenghao Feng and
                  Ray T. Chen and
                  David Z. Pan},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {Exploiting Wavelength Division Multiplexing for Optical Logic Synthesis},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {1567--1570},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8715099},
  doi          = {10.23919/DATE.2019.8715099},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/Zhao0YXFCP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/LiDYP19,
  author       = {Wuxi Li and
                  Mehrdad E. Dehkordi and
                  Stephen Yang and
                  David Z. Pan},
  editor       = {Kia Bazargan and
                  Stephen Neuendorffer},
  title        = {Simultaneous Placement and Clock Tree Construction for Modern FPGAs},
  booktitle    = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable
                  Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019},
  pages        = {132--141},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3289602.3293897},
  doi          = {10.1145/3289602.3293897},
  timestamp    = {Tue, 05 Mar 2019 07:04:43 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/LiDYP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/DharSIP19,
  author       = {Shounak Dhar and
                  Love Singhal and
                  Mahesh A. Iyer and
                  David Z. Pan},
  editor       = {Ioannis Sourdis and
                  Christos{-}Savvas Bouganis and
                  Carlos {\'{A}}lvarez and
                  Leonel Antonio Toledo D{\'{\i}}az and
                  Pedro Valero{-}Lara and
                  Xavier Martorell},
  title        = {{FPGA} Accelerated {FPGA} Placement},
  booktitle    = {29th International Conference on Field Programmable Logic and Applications,
                  {FPL} 2019, Barcelona, Spain, September 8-12, 2019},
  pages        = {404--410},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/FPL.2019.00070},
  doi          = {10.1109/FPL.2019.00070},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/DharSIP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/ShamsiPJ19,
  author       = {Kaveh Shamsi and
                  David Z. Pan and
                  Yier Jin},
  title        = {On the Impossibility of Approximation-Resilient Circuit Locking},
  booktitle    = {{IEEE} International Symposium on Hardware Oriented Security and Trust,
                  {HOST} 2019, McLean, VA, USA, May 5-10, 2019},
  pages        = {161--170},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HST.2019.8741035},
  doi          = {10.1109/HST.2019.8741035},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/ShamsiPJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpec/DharSIP19,
  author       = {Shounak Dhar and
                  Love Singhal and
                  Mahesh A. Iyer and
                  David Z. Pan},
  title        = {FPGA-Accelerated Spreading for Global Placement},
  booktitle    = {2019 {IEEE} High Performance Extreme Computing Conference, {HPEC}
                  2019, Waltham, MA, USA, September 24-26, 2019},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HPEC.2019.8916251},
  doi          = {10.1109/HPEC.2019.8916251},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpec/DharSIP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GongJWLLP19,
  author       = {ChengYue Gong and
                  Zixuan Jiang and
                  Dilin Wang and
                  Yibo Lin and
                  Qiang Liu and
                  David Z. Pan},
  editor       = {David Z. Pan},
  title        = {Mixed Precision Neural Architecture Search for Energy Efficient Deep
                  Learning},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--7},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942147},
  doi          = {10.1109/ICCAD45719.2019.8942147},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GongJWLLP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiLP19,
  author       = {Wuxi Li and
                  Yibo Lin and
                  David Z. Pan},
  editor       = {David Z. Pan},
  title        = {elfPlace: Electrostatics-based Placement for Large-Scale Heterogeneous
                  FPGAs},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942075},
  doi          = {10.1109/ICCAD45719.2019.8942075},
  timestamp    = {Wed, 19 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiLP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShamsiPJ19,
  author       = {Kaveh Shamsi and
                  David Z. Pan and
                  Yier Jin},
  editor       = {David Z. Pan},
  title        = {IcySAT: Improved SAT-based Attacks on Cyclic Locked Circuits},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--7},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942049},
  doi          = {10.1109/ICCAD45719.2019.8942049},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ShamsiPJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XuZLLLTSP19,
  author       = {Biying Xu and
                  Keren Zhu and
                  Mingjie Liu and
                  Yibo Lin and
                  Shaolan Li and
                  Xiyuan Tang and
                  Nan Sun and
                  David Z. Pan},
  editor       = {David Z. Pan},
  title        = {{MAGICAL:} Toward Fully Automated Analog {IC} Layout Leveraging Human
                  and Machine Intelligence: Invited Paper},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942060},
  doi          = {10.1109/ICCAD45719.2019.8942060},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/XuZLLLTSP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoGYFCP19,
  author       = {Zheng Zhao and
                  Jiaqi Gu and
                  Zhoufeng Ying and
                  Chenghao Feng and
                  Ray T. Chen and
                  David Z. Pan},
  editor       = {David Z. Pan},
  title        = {Design Technology for Scalable and Robust Photonic Integrated Circuits:
                  Invited Paper},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--7},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942045},
  doi          = {10.1109/ICCAD45719.2019.8942045},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoGYFCP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhuLLXLTSP19,
  author       = {Keren Zhu and
                  Mingjie Liu and
                  Yibo Lin and
                  Biying Xu and
                  Shaolan Li and
                  Xiyuan Tang and
                  Nan Sun and
                  David Z. Pan},
  editor       = {David Z. Pan},
  title        = {GeniusRoute: {A} New Analog Routing Paradigm Using Generative Neural
                  Network Guidance},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942164},
  doi          = {10.1109/ICCAD45719.2019.8942164},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhuLLXLTSP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/XuLPLSLSP19,
  author       = {Biying Xu and
                  Shaolan Li and
                  Chak{-}Wa Pui and
                  Derong Liu and
                  Linxiao Shen and
                  Yibo Lin and
                  Nan Sun and
                  David Z. Pan},
  editor       = {Ismail Bustany and
                  William Swartz},
  title        = {Device Layer-Aware Analytical Placement for Analog Circuits},
  booktitle    = {Proceedings of the 2019 International Symposium on Physical Design,
                  {ISPD} 2019, San Francisco, CA, USA, April 14-17, 2019},
  pages        = {19--26},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3299902.3309751},
  doi          = {10.1145/3299902.3309751},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/XuLPLSLSP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccad/2019,
  editor       = {David Z. Pan},
  title        = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019},
  doi          = {10.1109/ICCAD45719.2019},
  isbn         = {9781728123509},
  timestamp    = {Wed, 19 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ParameswaranBP18,
  author       = {Sri Parameswaran and
                  R. Iris Bahar and
                  David Z. Pan},
  title        = {Conference Reports: Report on the 2017 International Conference on
                  Computer-Aided Design {(ICCAD)}},
  journal      = {{IEEE} Des. Test},
  volume       = {35},
  number       = {2},
  pages        = {101--102},
  year         = {2018},
  url          = {https://doi.org/10.1109/MDAT.2018.2799991},
  doi          = {10.1109/MDAT.2018.2799991},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/ParameswaranBP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiQGPS18,
  author       = {Shaolan Li and
                  Bo Qiao and
                  Miguel Gandara and
                  David Z. Pan and
                  Nan Sun},
  title        = {A 13-ENOB Second-Order Noise-Shaping {SAR} {ADC} Realizing Optimized
                  {NTF} Zeros Using the Error-Feedback Structure},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {53},
  number       = {12},
  pages        = {3484--3496},
  year         = {2018},
  url          = {https://doi.org/10.1109/JSSC.2018.2871081},
  doi          = {10.1109/JSSC.2018.2871081},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiQGPS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiuYCP18,
  author       = {Derong Liu and
                  Bei Yu and
                  Salim Chowdhury and
                  David Z. Pan},
  title        = {{TILA-S:} Timing-Driven Incremental Layer Assignment Avoiding Slew
                  Violations},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {1},
  pages        = {231--244},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2652221},
  doi          = {10.1109/TCAD.2017.2652221},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiuYCP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LeePY18,
  author       = {Taehee Lee and
                  David Z. Pan and
                  Joon{-}Sung Yang},
  title        = {Clock Network Optimization With Multibit Flip-Flop Generation Considering
                  Multicorner Multimode Timing Constraint},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {1},
  pages        = {245--256},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2698025},
  doi          = {10.1109/TCAD.2017.2698025},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LeePY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhuHZPZZ18,
  author       = {Hengliang Zhu and
                  Feng Hu and
                  Hao Zhou and
                  David Z. Pan and
                  Dian Zhou and
                  Xuan Zeng},
  title        = {Interlayer Cooling Network Design for High-Performance 3D ICs Using
                  Channel Patterning and Pruning},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {4},
  pages        = {770--781},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2731683},
  doi          = {10.1109/TCAD.2017.2731683},
  timestamp    = {Tue, 16 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhuHZPZZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiDP18,
  author       = {Wuxi Li and
                  Shounak Dhar and
                  David Z. Pan},
  title        = {UTPlaceF: {A} Routability-Driven {FPGA} Placer With Physical and Congestion
                  Aware Packing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {4},
  pages        = {869--882},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2729349},
  doi          = {10.1109/TCAD.2017.2729349},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LiDP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XuLLMNKKP18,
  author       = {Xiaoqing Xu and
                  Yibo Lin and
                  Meng Li and
                  Tetsuaki Matsunawa and
                  Shigeki Nojima and
                  Chikaaki Kodama and
                  Toshiya Kotani and
                  David Z. Pan},
  title        = {Subresolution Assist Feature Generation With Supervised Data Learning},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {6},
  pages        = {1225--1236},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2748029},
  doi          = {10.1109/TCAD.2017.2748029},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/XuLLMNKKP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LinYXGVLLAP18,
  author       = {Yibo Lin and
                  Bei Yu and
                  Xiaoqing Xu and
                  Jhih{-}Rong Gao and
                  Natarajan Viswanathan and
                  Wen{-}Hao Liu and
                  Zhuo Li and
                  Charles J. Alpert and
                  David Z. Pan},
  title        = {MrDP: Multiple-Row Detailed Placement of Heterogeneous-Sized Cells
                  for Advanced Nodes},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {6},
  pages        = {1237--1250},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2748025},
  doi          = {10.1109/TCAD.2017.2748025},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LinYXGVLLAP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LinYLP18,
  author       = {Yibo Lin and
                  Bei Yu and
                  Meng Li and
                  David Z. Pan},
  title        = {Layout Synthesis for Topological Quantum Circuits With 1-D and 2-D
                  Architectures},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {8},
  pages        = {1574--1587},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2760511},
  doi          = {10.1109/TCAD.2017.2760511},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LinYLP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LiLLDP18,
  author       = {Wuxi Li and
                  Yibo Lin and
                  Meng Li and
                  Shounak Dhar and
                  David Z. Pan},
  title        = {UTPlaceF 2.0: {A} High-Performance Clock-Aware {FPGA} Placement Engine},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {23},
  number       = {4},
  pages        = {42:1--42:23},
  year         = {2018},
  url          = {https://doi.org/10.1145/3174849},
  doi          = {10.1145/3174849},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/LiLLDP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZhouZCPZZ18,
  author       = {Hao Zhou and
                  Hengliang Zhu and
                  Tao Cui and
                  David Z. Pan and
                  Dian Zhou and
                  Xuan Zeng},
  title        = {Thermal Stress and Reliability Analysis of TSV-Based 3-D ICs With
                  a Novel Adaptive Strategy Finite Element Method},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {7},
  pages        = {1312--1325},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2811417},
  doi          = {10.1109/TVLSI.2018.2811417},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZhouZCPZZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZhangLLYZZPZ18,
  author       = {Ye Zhang and
                  Wenlong Lyu and
                  Wai{-}Shing Luk and
                  Fan Yang and
                  Hai Zhou and
                  Dian Zhou and
                  David Z. Pan and
                  Xuan Zeng},
  title        = {Cut Redistribution and Insertion for Advanced 1-D Layout Design via
                  Network Flow Optimization},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {9},
  pages        = {1613--1626},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2828603},
  doi          = {10.1109/TVLSI.2018.2828603},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZhangLLYZZPZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiYOCPZ18,
  author       = {Xingquan Li and
                  Bei Yu and
                  Jiaojiao Ou and
                  Jianli Chen and
                  David Z. Pan and
                  Wenxing Zhu},
  title        = {Graph-Based Redundant Via Insertion and Guiding Template Assignment
                  for {DSA-MP}},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {26},
  number       = {11},
  pages        = {2504--2517},
  year         = {2018},
  url          = {http://doi.ieeecomputersociety.org/10.1109/TVLSI.2018.2850044},
  doi          = {10.1109/TVLSI.2018.2850044},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiYOCPZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HsuGLXLWHP18,
  author       = {Che{-}Lun Hsu and
                  Shaofeng Guo and
                  Yibo Lin and
                  Xiaoqing Xu and
                  Meng Li and
                  Runsheng Wang and
                  Ru Huang and
                  David Z. Pan},
  editor       = {Youngsoo Shin},
  title        = {Layout-dependent aging mitigation for critical path timing},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {153--158},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297298},
  doi          = {10.1109/ASPDAC.2018.8297298},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HsuGLXLWHP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiYLXLP18,
  author       = {Meng Li and
                  Bei Yu and
                  Yibo Lin and
                  Xiaoqing Xu and
                  Wuxi Li and
                  David Z. Pan},
  editor       = {Youngsoo Shin},
  title        = {A practical split manufacturing framework for Trojan prevention via
                  simultaneous wire lifting and cell insertion},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {265--270},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297316},
  doi          = {10.1109/ASPDAC.2018.8297316},
  timestamp    = {Mon, 09 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiYLXLP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhaoWYDCP18,
  author       = {Zheng Zhao and
                  Zheng Wang and
                  Zhoufeng Ying and
                  Shounak Dhar and
                  Ray T. Chen and
                  David Z. Pan},
  editor       = {Youngsoo Shin},
  title        = {Logic synthesis for energy-efficient photonic integrated circuits},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {355--360},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297349},
  doi          = {10.1109/ASPDAC.2018.8297349},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhaoWYDCP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuZWYCP18,
  author       = {Derong Liu and
                  Zheng Zhao and
                  Zheng Wang and
                  Zhoufeng Ying and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {{OPERON:} optical-electrical power-efficient route synthesis for on-chip
                  signals},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {75:1--75:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196084},
  doi          = {10.1145/3195970.3196084},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiuZWYCP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhangLYPS18,
  author       = {Grace Li Zhang and
                  Bing Li and
                  Bei Yu and
                  David Z. Pan and
                  Ulf Schlichtmann},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {TimingCamouflage: Improving circuit security against counterfeiting
                  by unconventional timing},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {91--96},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8341985},
  doi          = {10.23919/DATE.2018.8341985},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZhangLYPS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Shamsi0PJ18,
  author       = {Kaveh Shamsi and
                  Meng Li and
                  David Z. Pan and
                  Yier Jin},
  editor       = {Deming Chen and
                  Houman Homayoun and
                  Baris Taskin},
  title        = {Cross-Lock: Dense Layout-Level Interconnect Locking using Cross-bar
                  Architectures},
  booktitle    = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2018, Chicago, IL, USA, May 23-25, 2018},
  pages        = {147--152},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3194554.3194580},
  doi          = {10.1145/3194554.3194580},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Shamsi0PJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpec/DharP18,
  author       = {Shounak Dhar and
                  David Z. Pan},
  title        = {{GDP:} {GPU} accelerated Detailed Placement},
  booktitle    = {2018 {IEEE} High Performance Extreme Computing Conference, {HPEC}
                  2018, Waltham, MA, USA, September 25-27, 2018},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/HPEC.2018.8547587},
  doi          = {10.1109/HPEC.2018.8547587},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/hpec/DharP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/Ye0ZYP18,
  author       = {Wei Ye and
                  Meng Li and
                  Kai Zhong and
                  Bei Yu and
                  David Z. Pan},
  editor       = {Chris Chu and
                  Ismail Bustany},
  title        = {Power Grid Reduction by Sparse Convex Optimization},
  booktitle    = {Proceedings of the 2018 International Symposium on Physical Design,
                  {ISPD} 2018, Monterey, CA, USA, March 25-28, 2018},
  pages        = {60--67},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177540.3178247},
  doi          = {10.1145/3177540.3178247},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/Ye0ZYP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/LinWKMN0P18,
  author       = {Yibo Lin and
                  Yuki Watanabe and
                  Taiki Kimura and
                  Tetsuaki Matsunawa and
                  Shigeki Nojima and
                  Meng Li and
                  David Z. Pan},
  editor       = {Chris Chu and
                  Ismail Bustany},
  title        = {Data Efficient Lithography Modeling with Residual Neural Networks
                  and Transfer Learning},
  booktitle    = {Proceedings of the 2018 International Symposium on Physical Design,
                  {ISPD} 2018, Monterey, CA, USA, March 25-28, 2018},
  pages        = {82--89},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177540.3178242},
  doi          = {10.1145/3177540.3178242},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/LinWKMN0P18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/XuBSP18,
  author       = {Biying Xu and
                  Bulent Basaran and
                  Ming Su and
                  David Z. Pan},
  editor       = {Chris Chu and
                  Ismail Bustany},
  title        = {Analog Placement Constraint Extraction and Exploration with the Application
                  to Layout Retargeting},
  booktitle    = {Proceedings of the 2018 International Symposium on Physical Design,
                  {ISPD} 2018, Monterey, CA, USA, March 25-28, 2018},
  pages        = {98--105},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177540.3178245},
  doi          = {10.1145/3177540.3178245},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/XuBSP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/0004SJP18,
  author       = {Meng Li and
                  Kaveh Shamsi and
                  Yier Jin and
                  David Z. Pan},
  title        = {TimingSAT: Decamouflaging Timing-based Logic Obfuscation},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA,
                  October 29 - Nov. 1, 2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TEST.2018.8624671},
  doi          = {10.1109/TEST.2018.8624671},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/0004SJP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LinAYP18,
  author       = {Yibo Lin and
                  Mohamed Baker Alawieh and
                  Wei Ye and
                  David Z. Pan},
  title        = {Machine Learning for Yield Learning and Optimization},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA,
                  October 29 - Nov. 1, 2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TEST.2018.8624733},
  doi          = {10.1109/TEST.2018.8624733},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LinAYP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/Pan18,
  author       = {David Z. Pan},
  title        = {Machine learning for {IC} design and technology co-optimization in
                  extreme scaling},
  booktitle    = {2018 International Symposium on {VLSI} Design, Automation and Test
                  (VLSI-DAT), Hsinchu, Taiwan, April 16-19, 2018},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/VLSI-DAT.2018.8373281},
  doi          = {10.1109/VLSI-DAT.2018.8373281},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/Pan18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1807-03257,
  author       = {Yibo Lin and
                  Meng Li and
                  Yuki Watanabe and
                  Taiki Kimura and
                  Tetsuaki Matsunawa and
                  Shigeki Nojima and
                  David Z. Pan},
  title        = {Data Efficient Lithography Modeling with Transfer Learning and Active
                  Data Selection},
  journal      = {CoRR},
  volume       = {abs/1807.03257},
  year         = {2018},
  url          = {http://arxiv.org/abs/1807.03257},
  eprinttype    = {arXiv},
  eprint       = {1807.03257},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1807-03257.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1809-07554,
  author       = {Qi Sun and
                  Yibo Lin and
                  Iris Hui{-}Ru Jiang and
                  Bei Yu and
                  David Z. Pan},
  title        = {OpenMPL: An Open Source Layout Decomposer},
  journal      = {CoRR},
  volume       = {abs/1809.07554},
  year         = {2018},
  url          = {http://arxiv.org/abs/1809.07554},
  eprinttype    = {arXiv},
  eprint       = {1809.07554},
  timestamp    = {Tue, 12 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1809-07554.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/LinYZLAP17,
  author       = {Yibo Lin and
                  Bei Yu and
                  Yi Zou and
                  Zhuo Li and
                  Charles J. Alpert and
                  David Z. Pan},
  title        = {Stitch aware detailed placement for multiple E-beam lithography},
  journal      = {Integr.},
  volume       = {58},
  pages        = {47--54},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.vlsi.2017.02.004},
  doi          = {10.1016/J.VLSI.2017.02.004},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/LinYZLAP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ipsj/XuP17,
  author       = {Xiaoqing Xu and
                  David Z. Pan},
  title        = {Toward Unidirectional Routing Closure in Advanced Technology Nodes},
  journal      = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.},
  volume       = {10},
  pages        = {2--12},
  year         = {2017},
  url          = {https://doi.org/10.2197/ipsjtsldm.10.2},
  doi          = {10.2197/IPSJTSLDM.10.2},
  timestamp    = {Tue, 29 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ipsj/XuP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XuLLOCP17,
  author       = {Xiaoqing Xu and
                  Yibo Lin and
                  Meng Li and
                  Jiaojiao Ou and
                  Brian Cline and
                  David Z. Pan},
  title        = {Redundant Local-Loop Insertion for Unidirectional Routing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {7},
  pages        = {1113--1125},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2017.2651811},
  doi          = {10.1109/TCAD.2017.2651811},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/XuLLOCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LivramentoLCYXP17,
  author       = {Vinicius S. Livramento and
                  Derong Liu and
                  Salim Chowdhury and
                  Bei Yu and
                  Xiaoqing Xu and
                  David Z. Pan and
                  Jos{\'{e}} Lu{\'{\i}}s Almada G{\"{u}}ntzel and
                  Luiz C. V. dos Santos},
  title        = {Incremental Layer Assignment Driven by an External Signoff Timing
                  Engine},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {7},
  pages        = {1126--1139},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2638450},
  doi          = {10.1109/TCAD.2016.2638450},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LivramentoLCYXP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LinYXP17,
  author       = {Yibo Lin and
                  Bei Yu and
                  Biying Xu and
                  David Z. Pan},
  title        = {Triple Patterning Aware Detailed Placement Toward Zero Cross-Row Middle-of-Line
                  Conflict},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {7},
  pages        = {1140--1152},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2017.2648843},
  doi          = {10.1109/TCAD.2017.2648843},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LinYXP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LinYP17,
  author       = {Yibo Lin and
                  Bei Yu and
                  David Z. Pan},
  title        = {High Performance Dummy Fill Insertion With Coupling and Uniformity
                  Constraints},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {9},
  pages        = {1532--1544},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2638452},
  doi          = {10.1109/TCAD.2016.2638452},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LinYP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LiuYCP17,
  author       = {Derong Liu and
                  Bei Yu and
                  Salim Chowdhury and
                  David Z. Pan},
  title        = {Incremental Layer Assignment for Timing Optimization},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {22},
  number       = {4},
  pages        = {75:1--75:25},
  year         = {2017},
  url          = {https://doi.org/10.1145/3083727},
  doi          = {10.1145/3083727},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/LiuYCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YangLZPZYZ17,
  author       = {Yunfeng Yang and
                  Wai{-}Shing Luk and
                  Hai Zhou and
                  David Z. Pan and
                  Dian Zhou and
                  Changhao Yan and
                  Xuan Zeng},
  title        = {An Effective Layout Decomposition Method for {DSA} with Multiple Patterning
                  in Contact-Hole Generation},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {23},
  number       = {1},
  pages        = {11:1--11:27},
  year         = {2017},
  url          = {https://doi.org/10.1145/3131847},
  doi          = {10.1145/3131847},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/YangLZPZYZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/ZhaoWYDCP17,
  author       = {Zheng Zhao and
                  Zheng Wang and
                  Zhoufeng Ying and
                  Shounak Dhar and
                  Ray T. Chen and
                  David Z. Pan},
  editor       = {Yajie Qin and
                  Zhiliang Hong and
                  Ting{-}Ao Tang},
  title        = {Optical computing on silicon-on-insulator-based photonic integrated
                  circuits},
  booktitle    = {12th {IEEE} International Conference on ASIC, {ASICON} 2017, Guiyang,
                  China, October 25-28, 2017},
  pages        = {472--475},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ASICON.2017.8252516},
  doi          = {10.1109/ASICON.2017.8252516},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/ZhaoWYDCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XuLSP17,
  author       = {Biying Xu and
                  Shaolan Li and
                  Nan Sun and
                  David Z. Pan},
  title        = {A Scaling Compatible, Synthesis Friendly VCO-based Delta-sigma {ADC}
                  Design and Synthesis Methodology},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {12:1--12:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062192},
  doi          = {10.1145/3061639.3062192},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/XuLSP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiLCP17,
  author       = {Meng Li and
                  Liangzhen Lai and
                  Vikas Chandra and
                  David Z. Pan},
  title        = {Cross-level Monte Carlo Framework for System Vulnerability Evaluation
                  against Fault Attack},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {17:1--17:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062220},
  doi          = {10.1145/3061639.3062220},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiLCP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuLCDVSP17,
  author       = {Derong Liu and
                  Vinicius S. Livramento and
                  Salim Chowdhury and
                  Duo Ding and
                  Huy Vo and
                  Akshay Sharma and
                  David Z. Pan},
  title        = {Streak: Synergistic Topology Generation and Route Synthesis for On-Chip
                  Performance-Critical Signal Groups},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {18:1--18:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062321},
  doi          = {10.1145/3061639.3062321},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuLCDVSP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XuLLP17,
  author       = {Xiaoqing Xu and
                  Yibo Lin and
                  Vinicius S. Livramento and
                  David Z. Pan},
  title        = {Concurrent Pin Access Optimization for Unidirectional Routing},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {20:1--20:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062214},
  doi          = {10.1145/3061639.3062214},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/XuLLP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShamsiLMZPJ17,
  author       = {Kaveh Shamsi and
                  Meng Li and
                  Travis Meade and
                  Zheng Zhao and
                  David Z. Pan and
                  Yier Jin},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Cyclic Obfuscation for Creating SAT-Unresolvable Circuits},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {173--178},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060458},
  doi          = {10.1145/3060403.3060458},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShamsiLMZPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShamsiLMZPJ17a,
  author       = {Kaveh Shamsi and
                  Meng Li and
                  Travis Meade and
                  Zheng Zhao and
                  David Z. Pan and
                  Yier Jin},
  editor       = {Laleh Behjat and
                  Jie Han and
                  Miroslav N. Velev and
                  Deming Chen},
  title        = {Circuit Obfuscation and Oracle-guided Attacks: Who can Prevail?},
  booktitle    = {Proceedings of the on Great Lakes Symposium on {VLSI} 2017, Banff,
                  AB, Canada, May 10-12, 2017},
  pages        = {357--362},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3060403.3060494},
  doi          = {10.1145/3060403.3060494},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShamsiLMZPJ17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/ShamsiLMZPJ17,
  author       = {Kaveh Shamsi and
                  Meng Li and
                  Travis Meade and
                  Zheng Zhao and
                  David Z. Pan and
                  Yier Jin},
  title        = {AppSAT: Approximately deobfuscating integrated circuits},
  booktitle    = {2017 {IEEE} International Symposium on Hardware Oriented Security
                  and Trust, {HOST} 2017, McLean, VA, USA, May 1-5, 2017},
  pages        = {95--100},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/HST.2017.7951805},
  doi          = {10.1109/HST.2017.7951805},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/host/ShamsiLMZPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiLWP17,
  author       = {Wuxi Li and
                  Meng Li and
                  Jiajun Wang and
                  David Z. Pan},
  editor       = {Sri Parameswaran},
  title        = {UTPlaceF 3.0: {A} parallelization framework for modern {FPGA} global
                  placement: (Invited paper)},
  booktitle    = {2017 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017},
  pages        = {922--928},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCAD.2017.8203879},
  doi          = {10.1109/ICCAD.2017.8203879},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiLWP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OuXCYP17,
  author       = {Jiaojiao Ou and
                  Xiaoqing Xu and
                  Brian Cline and
                  Greg Yeric and
                  David Z. Pan},
  title        = {{DTCO} for {DSA-MP} Hybrid Lithography with Double-BCP Materials in
                  Sub-7nm Node},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {403--410},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.70},
  doi          = {10.1109/ICCD.2017.70},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OuXCYP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinDTKRP17,
  author       = {Yibo Lin and
                  Peter Debacker and
                  Darko Trivkovic and
                  Ryoung{-}Han Kim and
                  Praveen Raghavan and
                  David Z. Pan},
  title        = {Patterning Aware Design Optimization of Selective Etching in {N5}
                  and Beyond},
  booktitle    = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017,
                  Boston, MA, USA, November 5-8, 2017},
  pages        = {415--418},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ICCD.2017.72},
  doi          = {10.1109/ICCD.2017.72},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LinDTKRP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MeadeZZPJ17,
  author       = {Travis Meade and
                  Zheng Zhao and
                  Shaojie Zhang and
                  David Z. Pan and
                  Yier Jin},
  title        = {Revisit sequential logic obfuscation: Attacks and defenses},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050606},
  doi          = {10.1109/ISCAS.2017.8050606},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MeadeZZPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YeLXLFSZP17,
  author       = {Wei Ye and
                  Yibo Lin and
                  Xiaoqing Xu and
                  Wuxi Li and
                  Yiwei Fu and
                  Yongsheng Sun and
                  Canhui Zhan and
                  David Z. Pan},
  title        = {Placement mitigation techniques for power grid electromigration},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009178},
  doi          = {10.1109/ISLPED.2017.8009178},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YeLXLFSZP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/XuLXSP17,
  author       = {Biying Xu and
                  Shaolan Li and
                  Xiaoqing Xu and
                  Nan Sun and
                  David Z. Pan},
  editor       = {Mustafa Ozdal and
                  Chris Chu},
  title        = {Hierarchical and Analytical Placement Techniques for High-Performance
                  Analog Circuits},
  booktitle    = {Proceedings of the 2017 {ACM} on International Symposium on Physical
                  Design, {ISDP} 2017, Portland, OR, USA, March 19-22, 2017},
  pages        = {55--62},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3036669.3036678},
  doi          = {10.1145/3036669.3036678},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/XuLXSP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/OuYXMLP17,
  author       = {Jiaojiao Ou and
                  Bei Yu and
                  Xiaoqing Xu and
                  Joydeep Mitra and
                  Yibo Lin and
                  David Z. Pan},
  editor       = {Mustafa Ozdal and
                  Chris Chu},
  title        = {{DSAR:} {DSA} aware Routing with Simultaneous {DSA} Guiding Pattern
                  and Double Patterning Assignment},
  booktitle    = {Proceedings of the 2017 {ACM} on International Symposium on Physical
                  Design, {ISDP} 2017, Portland, OR, USA, March 19-22, 2017},
  pages        = {91--98},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3036669.3036677},
  doi          = {10.1145/3036669.3036677},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/OuYXMLP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/DharIASRP17,
  author       = {Shounak Dhar and
                  Mahesh A. Iyer and
                  Saurabh N. Adya and
                  Love Singhal and
                  Nikolay Rubanov and
                  David Z. Pan},
  editor       = {Mustafa Ozdal and
                  Chris Chu},
  title        = {An Effective Timing-Driven Detailed Placement Algorithm for FPGAs},
  booktitle    = {Proceedings of the 2017 {ACM} on International Symposium on Physical
                  Design, {ISDP} 2017, Portland, OR, USA, March 19-22, 2017},
  pages        = {151--157},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3036669.3036682},
  doi          = {10.1145/3036669.3036682},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ispd/DharIASRP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1709-06161,
  author       = {Meng Li and
                  Liangzhen Lai and
                  Naveen Suda and
                  Vikas Chandra and
                  David Z. Pan},
  title        = {PrivyNet: {A} Flexible Framework for Privacy-Preserving Deep Neural
                  Network Training with {A} Fine-Grained Privacy Control},
  journal      = {CoRR},
  volume       = {abs/1709.06161},
  year         = {2017},
  url          = {http://arxiv.org/abs/1709.06161},
  eprinttype    = {arXiv},
  eprint       = {1709.06161},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1709-06161.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/chinaf/YuXRLOP16,
  author       = {Bei Yu and
                  Xiaoqing Xu and
                  Subhendu Roy and
                  Yibo Lin and
                  Jiaojiao Ou and
                  David Z. Pan},
  title        = {Design for manufacturability and reliability in extreme-scaling {VLSI}},
  journal      = {Sci. China Inf. Sci.},
  volume       = {59},
  number       = {6},
  pages        = {061406:1--061406:23},
  year         = {2016},
  url          = {https://doi.org/10.1007/s11432-016-5560-6},
  doi          = {10.1007/S11432-016-5560-6},
  timestamp    = {Mon, 02 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/chinaf/YuXRLOP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RoyCPP16,
  author       = {Subhendu Roy and
                  Mihir R. Choudhury and
                  Ruchir Puri and
                  David Z. Pan},
  title        = {Polynomial Time Algorithm for Area and Power Efficient Adder Synthesis
                  in High-Performance Designs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {5},
  pages        = {820--831},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2015.2481794},
  doi          = {10.1109/TCAD.2015.2481794},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RoyCPP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YangLPZYZZ16,
  author       = {Yunfeng Yang and
                  Wai{-}Shing Luk and
                  David Z. Pan and
                  Hai Zhou and
                  Changhao Yan and
                  Dian Zhou and
                  Xuan Zeng},
  title        = {Layout Decomposition Co-Optimization for Hybrid E-Beam and Multiple
                  Patterning Lithography},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {9},
  pages        = {1532--1545},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2015.2512903},
  doi          = {10.1109/TCAD.2015.2512903},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YangLPZYZZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RoyLSUP16,
  author       = {Subhendu Roy and
                  Derong Liu and
                  Jagmohan Singh and
                  Junhyung Um and
                  David Z. Pan},
  title        = {{OSFA:} {A} New Paradigm of Aging Aware Gate-Sizing for Power/Performance
                  Optimizations Under Multiple Operating Conditions},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {35},
  number       = {10},
  pages        = {1618--1629},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCAD.2016.2523439},
  doi          = {10.1109/TCAD.2016.2523439},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RoyLSUP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/XuYGHP16,
  author       = {Xiaoqing Xu and
                  Bei Yu and
                  Jhih{-}Rong Gao and
                  Che{-}Lun Hsu and
                  David Z. Pan},
  title        = {{PARR:} Pin-Access Planning and Regular Routing for Self-Aligned Double
                  Patterning},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {21},
  number       = {3},
  pages        = {42:1--42:21},
  year         = {2016},
  url          = {https://doi.org/10.1145/2842612},
  doi          = {10.1145/2842612},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/XuYGHP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/YuYGHP16,
  author       = {Bei Yu and
                  Kun Yuan and
                  Jhih{-}Rong Gao and
                  Shiyan Hu and
                  David Z. Pan},
  title        = {{EBL} Overlapping Aware Stencil Planning for {MCC} System},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {21},
  number       = {3},
  pages        = {43:1--43:24},
  year         = {2016},
  url          = {https://doi.org/10.1145/2888394},
  doi          = {10.1145/2888394},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/YuYGHP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LinYZ0AP16,
  author       = {Yibo Lin and
                  Bei Yu and
                  Yi Zou and
                  Zhuo Li and
                  Charles J. Alpert and
                  David Z. Pan},
  title        = {Stitch aware detailed placement for multiple e-beam lithography},
  booktitle    = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2016, Macao, Macao, January 25-28, 2016},
  pages        = {186--191},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASPDAC.2016.7428009},
  doi          = {10.1109/ASPDAC.2016.7428009},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LinYZ0AP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/MatsunawaYP16,
  author       = {Tetsuaki Matsunawa and
                  Bei Yu and
                  David Z. Pan},
  title        = {Laplacian eigenmaps and bayesian clustering based layout pattern sampling
                  and its applications to hotspot detection and {OPC}},
  booktitle    = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2016, Macao, Macao, January 25-28, 2016},
  pages        = {679--684},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASPDAC.2016.7428090},
  doi          = {10.1109/ASPDAC.2016.7428090},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/MatsunawaYP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiuYCP16,
  author       = {Derong Liu and
                  Bei Yu and
                  Salim Chowdhury and
                  David Z. Pan},
  title        = {Incremental layer assignment for critical path timing},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {85:1--85:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898033},
  doi          = {10.1145/2897937.2898033},
  timestamp    = {Tue, 06 Nov 2018 16:58:19 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiuYCP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiMZP16,
  author       = {Meng Li and
                  Jin Miao and
                  Kai Zhong and
                  David Z. Pan},
  title        = {Practical public {PUF} enabled by solving max-flow problem on chip},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {164:1--164:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898067},
  doi          = {10.1145/2897937.2898067},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiMZP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinYXGVL0AP16,
  author       = {Yibo Lin and
                  Bei Yu and
                  Xiaoqing Xu and
                  Jhih{-}Rong Gao and
                  Natarajan Viswanathan and
                  Wen{-}Hao Liu and
                  Zhuo Li and
                  Charles J. Alpert and
                  David Z. Pan},
  editor       = {Frank Liu},
  title        = {MrDP: multiple-row detailed placement of heterogeneous-sized cells
                  for advanced nodes},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {7},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967055},
  doi          = {10.1145/2966986.2967055},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LinYXGVL0AP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DharASIP16,
  author       = {Shounak Dhar and
                  Saurabh N. Adya and
                  Love Singhal and
                  Mahesh A. Iyer and
                  David Z. Pan},
  editor       = {Frank Liu},
  title        = {Detailed placement for modern FPGAs using 2D dynamic programming},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {9},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967024},
  doi          = {10.1145/2966986.2967024},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DharASIP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiSMZYJP16,
  author       = {Meng Li and
                  Kaveh Shamsi and
                  Travis Meade and
                  Zheng Zhao and
                  Bei Yu and
                  Yier Jin and
                  David Z. Pan},
  editor       = {Frank Liu},
  title        = {Provably secure camouflaging strategy for {IC} protection},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {28},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967065},
  doi          = {10.1145/2966986.2967065},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiSMZYJP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiDP16,
  author       = {Wuxi Li and
                  Shounak Dhar and
                  David Z. Pan},
  editor       = {Frank Liu},
  title        = {UTPlaceF: a routability-driven {FPGA} placer with physical and congestion
                  aware packing},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {66},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2980083},
  doi          = {10.1145/2966986.2980083},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiDP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TaoYLWPZ16,
  author       = {Yudong Tao and
                  Changhao Yan and
                  Yibo Lin and
                  Sheng{-}Guo Wang and
                  David Z. Pan and
                  Xuan Zeng},
  editor       = {Frank Liu},
  title        = {A novel unified dummy fill insertion framework with SQP-based optimization
                  method},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {88},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2966994},
  doi          = {10.1145/2966986.2966994},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/TaoYLWPZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/OuYP16,
  author       = {Jiaojiao Ou and
                  Bei Yu and
                  David Z. Pan},
  editor       = {Evangeline F. Y. Young and
                  Mustafa Ozdal},
  title        = {Concurrent Guiding Template Assignment and Redundant via Insertion
                  for {DSA-MP} Hybrid Lithography},
  booktitle    = {Proceedings of the 2016 on International Symposium on Physical Design,
                  {ISPD} 2016, Santa Rosa, CA, USA, April 3-6, 2016},
  pages        = {39--46},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2872334.2872352},
  doi          = {10.1145/2872334.2872352},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/OuYP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/XuMNKKP16,
  author       = {Xiaoqing Xu and
                  Tetsuaki Matsunawa and
                  Shigeki Nojima and
                  Chikaaki Kodama and
                  Toshiya Kotani and
                  David Z. Pan},
  editor       = {Evangeline F. Y. Young and
                  Mustafa Ozdal},
  title        = {A Machine Learning Based Framework for Sub-Resolution Assist Feature
                  Generation},
  booktitle    = {Proceedings of the 2016 on International Symposium on Physical Design,
                  {ISPD} 2016, Santa Rosa, CA, USA, April 3-6, 2016},
  pages        = {161--168},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2872334.2872357},
  doi          = {10.1145/2872334.2872357},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/XuMNKKP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/algo/ChoP16,
  author       = {Minsik Cho and
                  David Z. Pan},
  title        = {Global Routing},
  booktitle    = {Encyclopedia of Algorithms},
  pages        = {856--858},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-1-4939-2864-4\_741},
  doi          = {10.1007/978-1-4939-2864-4\_741},
  timestamp    = {Wed, 12 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/reference/algo/ChoP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/algo/YuP16,
  author       = {Bei Yu and
                  David Z. Pan},
  title        = {Layout Decomposition for Triple Patterning},
  booktitle    = {Encyclopedia of Algorithms},
  pages        = {1062--1065},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-1-4939-2864-4\_744},
  doi          = {10.1007/978-1-4939-2864-4\_744},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/reference/algo/YuP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YuYDP15,
  author       = {Bei Yu and
                  Kun Yuan and
                  Duo Ding and
                  David Z. Pan},
  title        = {Layout Decomposition for Triple Patterning Lithography},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {3},
  pages        = {433--446},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2014.2387840},
  doi          = {10.1109/TCAD.2014.2387840},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YuYDP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RoyMMP15,
  author       = {Subhendu Roy and
                  Pavlos M. Mattheakis and
                  Laurent Masse{-}Navette and
                  David Z. Pan},
  title        = {Clock Tree Resynthesis for Multi-Corner Multi-Mode Timing Closure},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {4},
  pages        = {589--602},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2015.2394310},
  doi          = {10.1109/TCAD.2015.2394310},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RoyMMP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/XuCYYP15,
  author       = {Xiaoqing Xu and
                  Brian Cline and
                  Greg Yeric and
                  Bei Yu and
                  David Z. Pan},
  title        = {Self-Aligned Double Patterning Aware Pin Access and Standard Cell
                  Layout Co-Optimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {5},
  pages        = {699--712},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2015.2399439},
  doi          = {10.1109/TCAD.2015.2399439},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/XuCYYP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YuXGL0AP15,
  author       = {Bei Yu and
                  Xiaoqing Xu and
                  Jhih{-}Rong Gao and
                  Yibo Lin and
                  Zhuo Li and
                  Charles J. Alpert and
                  David Z. Pan},
  title        = {Methodology for Standard Cell Compliance and Detailed Placement for
                  Triple Patterning Lithography},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {5},
  pages        = {726--739},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2015.2401571},
  doi          = {10.1109/TCAD.2015.2401571},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YuXGL0AP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ZhangLYZYPZ15,
  author       = {Ye Zhang and
                  Wai{-}Shing Luk and
                  Yunfeng Yang and
                  Hai Zhou and
                  Changhao Yan and
                  David Z. Pan and
                  Xuan Zeng},
  title        = {Layout Decomposition with Pairwise Coloring and Adaptive Multi-Start
                  for Triple Patterning Lithography},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {21},
  number       = {1},
  pages        = {2:1--2:25},
  year         = {2015},
  url          = {https://doi.org/10.1145/2764904},
  doi          = {10.1145/2764904},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/ZhangLYZYPZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RoyCPP15,
  author       = {Subhendu Roy and
                  Mihir R. Choudhury and
                  Ruchir Puri and
                  David Z. Pan},
  title        = {Polynomial time algorithm for area and power efficient adder synthesis
                  in high-performance designs},
  booktitle    = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2015, Chiba, Japan, January 19-22, 2015},
  pages        = {249--254},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASPDAC.2015.7059013},
  doi          = {10.1109/ASPDAC.2015.7059013},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/RoyCPP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuPMZ15,
  author       = {Bei Yu and
                  David Z. Pan and
                  Tetsuaki Matsunawa and
                  Xuan Zeng},
  title        = {Machine learning and pattern matching in physical design},
  booktitle    = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2015, Chiba, Japan, January 19-22, 2015},
  pages        = {286--293},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASPDAC.2015.7059020},
  doi          = {10.1109/ASPDAC.2015.7059020},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuPMZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PakYP15,
  author       = {Jiwoo Pak and
                  Bei Yu and
                  David Z. Pan},
  title        = {Electromigration-aware redundant via insertion},
  booktitle    = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2015, Chiba, Japan, January 19-22, 2015},
  pages        = {544--549},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASPDAC.2015.7059063},
  doi          = {10.1109/ASPDAC.2015.7059063},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/PakYP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/XuYGHP15,
  author       = {Xiaoqing Xu and
                  Bei Yu and
                  Jhih{-}Rong Gao and
                  Che{-}Lun Hsu and
                  David Z. Pan},
  title        = {{PARR:} pin access planning and regular routing for self-aligned double
                  patterning},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {28:1--28:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744890},
  doi          = {10.1145/2744769.2744890},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/XuYGHP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LinYP15,
  author       = {Yibo Lin and
                  Bei Yu and
                  David Z. Pan},
  title        = {High performance dummy fill insertion with coupling and uniformity
                  constraints},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {71:1--71:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744850},
  doi          = {10.1145/2744769.2744850},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LinYP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RoyLUP15,
  author       = {Subhendu Roy and
                  Derong Liu and
                  Junhyung Um and
                  David Z. Pan},
  title        = {{OSFA:} a new paradigm of gate-sizing for power/performance optimizations
                  under multiple operating conditions},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {129:1--129:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744885},
  doi          = {10.1145/2744769.2744885},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RoyLUP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CampbellVPC15,
  author       = {Keith A. Campbell and
                  Pranay Vissa and
                  David Z. Pan and
                  Deming Chen},
  title        = {High-level synthesis of error detecting cores through low-cost modulo-3
                  shadow datapaths},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {161:1--161:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744851},
  doi          = {10.1145/2744769.2744851},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CampbellVPC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PanLYXL15,
  author       = {David Z. Pan and
                  Lars Liebmann and
                  Bei Yu and
                  Xiaoqing Xu and
                  Yibo Lin},
  title        = {Pushing multiple patterning in sub-10nm: are we ready?},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {197:1--197:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2747940},
  doi          = {10.1145/2744769.2747940},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PanLYXL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/OuYGPPL15,
  author       = {Jiaojiao Ou and
                  Bei Yu and
                  Jhih{-}Rong Gao and
                  David Z. Pan and
                  Moshe Preil and
                  Azat Latypov},
  editor       = {Alex K. Jones and
                  Hai (Helen) Li and
                  Ayse K. Coskun and
                  Martin Margala},
  title        = {Directed Self-Assembly Based Cut Mask Optimization for Unidirectional
                  Design},
  booktitle    = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015},
  pages        = {83--86},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742060.2742114},
  doi          = {10.1145/2742060.2742114},
  timestamp    = {Tue, 23 Jul 2019 15:03:09 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/OuYGPPL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/RoyPMCMR15,
  author       = {Subhendu Roy and
                  David Z. Pan and
                  Pavlos M. Mattheakis and
                  Peter S. Colyer and
                  Laurent Masse{-}Navette and
                  Pierre{-}Olivier Ribet},
  editor       = {Alex K. Jones and
                  Hai (Helen) Li and
                  Ayse K. Coskun and
                  Martin Margala},
  title        = {Skew Bounded Buffer Tree Resynthesis For Clock Power Optimization},
  booktitle    = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015},
  pages        = {87--90},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742060.2742119},
  doi          = {10.1145/2742060.2742119},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/RoyPMCMR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/YeYPBL15,
  author       = {Wei Ye and
                  Bei Yu and
                  David Z. Pan and
                  Yongchan Ban and
                  Lars Liebmann},
  editor       = {Alex K. Jones and
                  Hai (Helen) Li and
                  Ayse K. Coskun and
                  Martin Margala},
  title        = {Standard Cell Layout Regularity and Pin Access Optimization Considering
                  Middle-of-Line},
  booktitle    = {Proceedings of the 25th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2015, Pittsburgh, PA, USA, May 20 - 22, 2015},
  pages        = {289--294},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2742060.2742084},
  doi          = {10.1145/2742060.2742084},
  timestamp    = {Mon, 28 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/YeYPBL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuLCP15,
  author       = {Bei Yu and
                  Derong Liu and
                  Salim Chowdhury and
                  David Z. Pan},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {{TILA:} Timing-Driven Incremental Layer Assignment},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {110--117},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372557},
  doi          = {10.1109/ICCAD.2015.7372557},
  timestamp    = {Mon, 26 Jun 2023 16:43:56 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YuLCP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KahngLNNPR15,
  author       = {Andrew B. Kahng and
                  Mulong Luo and
                  Gi{-}Joon Nam and
                  Siddhartha Nath and
                  David Z. Pan and
                  Gabriel Robins},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {Toward Metrics of Design Automation Research Impact},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {263--270},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372579},
  doi          = {10.1109/ICCAD.2015.7372579},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KahngLNNPR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinYXP15,
  author       = {Yibo Lin and
                  Bei Yu and
                  Biying Xu and
                  David Z. Pan},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {Triple Patterning Aware Detailed Placement Toward Zero Cross-Row Middle-of-Line
                  Conflict},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {396--403},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372597},
  doi          = {10.1109/ICCAD.2015.7372597},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LinYXP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LinRWPC15,
  author       = {Chen{-}Hsuan Lin and
                  Subhendu Roy and
                  Chun{-}Yao Wang and
                  David Z. Pan and
                  Deming Chen},
  title        = {{CSL:} Coordinated and scalable logic synthesis techniques for effective
                  {NBTI} reduction},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {236--243},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357109},
  doi          = {10.1109/ICCD.2015.7357109},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LinRWPC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuYGP15,
  author       = {Bei Yu and
                  Kun Yuan and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  title        = {{E-BLOW:} E-Beam Lithography Overlapping aware Stencil Planning for
                  {MCC} System},
  journal      = {CoRR},
  volume       = {abs/1502.00621},
  year         = {2015},
  url          = {http://arxiv.org/abs/1502.00621},
  eprinttype    = {arXiv},
  eprint       = {1502.00621},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuYGP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cacm/JungMPL14,
  author       = {Moongon Jung and
                  Joydeep Mitra and
                  David Z. Pan and
                  Sung Kyu Lim},
  title        = {{TSV} stress-aware full-chip mechanical reliability analysis and optimization
                  for 3D {IC}},
  journal      = {Commun. {ACM}},
  volume       = {57},
  number       = {1},
  pages        = {107--115},
  year         = {2014},
  url          = {https://doi.org/10.1145/2494536},
  doi          = {10.1145/2494536},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cacm/JungMPL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RoyCPP14,
  author       = {Subhendu Roy and
                  Mihir R. Choudhury and
                  Ruchir Puri and
                  David Z. Pan},
  title        = {Towards Optimal Performance-Area Trade-Off in Adders by Synthesis
                  of Parallel Prefix Structures},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {10},
  pages        = {1517--1530},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2341926},
  doi          = {10.1109/TCAD.2014.2341926},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RoyCPP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PakLP14,
  author       = {Jiwoo Pak and
                  Sung Kyu Lim and
                  David Z. Pan},
  title        = {Electromigration Study for Multiscale Power/Ground Vias in TSV-Based
                  3-D ICs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {12},
  pages        = {1873--1885},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2360456},
  doi          = {10.1109/TCAD.2014.2360456},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PakLP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ChangP014,
  author       = {Naehyuck Chang and
                  David Z. Pan and
                  Yuan Xie},
  title        = {Editorial: {ACM} Transactions on Design Automation of Electronics
                  Systems and Beyond},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {20},
  number       = {1},
  pages        = {1:1--1:2},
  year         = {2014},
  url          = {https://doi.org/10.1145/2676865},
  doi          = {10.1145/2676865},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/ChangP014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GaoYP14,
  author       = {Jhih{-}Rong Gao and
                  Bei Yu and
                  David Z. Pan},
  title        = {Self-aligned double patterning layout decomposition with complementary
                  e-beam lithography},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {143--148},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742880},
  doi          = {10.1109/ASPDAC.2014.6742880},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GaoYP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhangCP14,
  author       = {Yilin Zhang and
                  Salim Chowdhury and
                  David Z. Pan},
  title        = {BOB-router: {A} new buffering-aware global router with over-the-block
                  routing resources optimization},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {513--518},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742943},
  doi          = {10.1109/ASPDAC.2014.6742943},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhangCP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GaoXYP14,
  author       = {Jhih{-}Rong Gao and
                  Xiaoqing Xu and
                  Bei Yu and
                  David Z. Pan},
  title        = {{MOSAIC:} Mask Optimizing Solution With Process Window Aware Inverse
                  Correction},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {52:1--52:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593163},
  doi          = {10.1145/2593069.2593163},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GaoXYP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuP14,
  author       = {Bei Yu and
                  David Z. Pan},
  title        = {Layout Decomposition for Quadruple Patterning Lithography and Beyond},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {53:1--53:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593152},
  doi          = {10.1145/2593069.2593152},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ZhangP14,
  author       = {Yilin Zhang and
                  David Z. Pan},
  editor       = {Cliff C. N. Sze and
                  Azadeh Davoodi},
  title        = {Timing-driven, over-the-block rectilinear steiner tree construction
                  with pre-buffering and slew constraints},
  booktitle    = {International Symposium on Physical Design, ISPD'14, Petaluma, CA,
                  USA, March 30 - April 02, 2014},
  pages        = {29--36},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2560519.2560533},
  doi          = {10.1145/2560519.2560533},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ZhangP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/RoyMMP14,
  author       = {Subhendu Roy and
                  Pavlos M. Mattheakis and
                  Laurent Masse{-}Navette and
                  David Z. Pan},
  editor       = {Cliff C. N. Sze and
                  Azadeh Davoodi},
  title        = {Clock tree resynthesis for multi-corner multi-mode timing closure},
  booktitle    = {International Symposium on Physical Design, ISPD'14, Petaluma, CA,
                  USA, March 30 - April 02, 2014},
  pages        = {69--76},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2560519.2560524},
  doi          = {10.1145/2560519.2560524},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/RoyMMP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/XuCYYP14,
  author       = {Xiaoqing Xu and
                  Brian Cline and
                  Greg Yeric and
                  Bei Yu and
                  David Z. Pan},
  editor       = {Cliff C. N. Sze and
                  Azadeh Davoodi},
  title        = {Self-aligned double patterning aware pin access and standard cell
                  layout co-optimization},
  booktitle    = {International Symposium on Physical Design, ISPD'14, Petaluma, CA,
                  USA, March 30 - April 02, 2014},
  pages        = {101--108},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2560519.2560530},
  doi          = {10.1145/2560519.2560530},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/XuCYYP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/RoyP14,
  author       = {Subhendu Roy and
                  David Z. Pan},
  title        = {Reliability Aware Gate Sizing Combating {NBTI} and Oxide Breakdown},
  booktitle    = {2014 27th International Conference on {VLSI} Design, {VLSID} 2014,
                  and 2014 13th International Conference on Embedded Systems, Mumbai,
                  India, January 5-9, 2014},
  pages        = {38--43},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSID.2014.14},
  doi          = {10.1109/VLSID.2014.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/RoyP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuGP14,
  author       = {Bei Yu and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  title        = {L-Shape based Layout Fracturing for E-Beam Lithography},
  journal      = {CoRR},
  volume       = {abs/1402.2420},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2420},
  eprinttype    = {arXiv},
  eprint       = {1402.2420},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuGP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuGP14a,
  author       = {Bei Yu and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  title        = {Triple Patterning Lithography {(TPL)} Layout Decomposition using End-Cutting},
  journal      = {CoRR},
  volume       = {abs/1402.2425},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2425},
  eprinttype    = {arXiv},
  eprint       = {1402.2425},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuGP14a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuYGP14,
  author       = {Bei Yu and
                  Kun Yuan and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  title        = {{E-BLOW:} E-Beam Lithography Overlapping aware Stencil Planning for
                  {MCC} System},
  journal      = {CoRR},
  volume       = {abs/1402.2435},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2435},
  eprinttype    = {arXiv},
  eprint       = {1402.2435},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuYGP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/GaoYHP14,
  author       = {Jhih{-}Rong Gao and
                  Bei Yu and
                  Ru Huang and
                  David Z. Pan},
  title        = {Self-Aligned Double Patterning Friendly Configuration for Standard
                  Cell Library Considering Placement},
  journal      = {CoRR},
  volume       = {abs/1402.2442},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2442},
  eprinttype    = {arXiv},
  eprint       = {1402.2442},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/GaoYHP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuYZDP14,
  author       = {Bei Yu and
                  Kun Yuan and
                  Boyang Zhang and
                  Duo Ding and
                  David Z. Pan},
  title        = {Layout decomposition for triple patterning lithography},
  journal      = {CoRR},
  volume       = {abs/1402.2459},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2459},
  eprinttype    = {arXiv},
  eprint       = {1402.2459},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuYZDP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuXGP14,
  author       = {Bei Yu and
                  Xiaoqing Xu and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  title        = {Methodology for standard cell compliance and detailed placement for
                  triple patterning lithography},
  journal      = {CoRR},
  volume       = {abs/1402.2635},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2635},
  eprinttype    = {arXiv},
  eprint       = {1402.2635},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuXGP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuLLDLP14,
  author       = {Bei Yu and
                  Yen{-}Hung Lin and
                  Gerard Luk{-}Pat and
                  Duo Ding and
                  Kevin Lucas and
                  David Z. Pan},
  title        = {A High-Performance Triple Patterning Layout Decomposer with Balanced
                  Density},
  journal      = {CoRR},
  volume       = {abs/1402.2890},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2890},
  eprinttype    = {arXiv},
  eprint       = {1402.2890},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuLLDLP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/DingYP14,
  author       = {Duo Ding and
                  Bei Yu and
                  David Z. Pan},
  title        = {{GLOW:} {A} global router for low-power thermal-reliable interconnect
                  synthesis using photonic wavelength multiplexing},
  journal      = {CoRR},
  volume       = {abs/1402.2899},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2899},
  eprinttype    = {arXiv},
  eprint       = {1402.2899},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/DingYP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/DingYGP14,
  author       = {Duo Ding and
                  Bei Yu and
                  Joydeep Ghosh and
                  David Z. Pan},
  title        = {{EPIC:} Efficient prediction of {IC} manufacturing hotspots with a
                  unified meta-classification formulation},
  journal      = {CoRR},
  volume       = {abs/1402.2904},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2904},
  eprinttype    = {arXiv},
  eprint       = {1402.2904},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/DingYGP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/LinYPL14,
  author       = {Yen{-}Hung Lin and
                  Bei Yu and
                  David Z. Pan and
                  Yih{-}Lang Li},
  title        = {{TRIAD:} a triple patterning lithography aware detailed router},
  journal      = {CoRR},
  volume       = {abs/1402.2906},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.2906},
  eprinttype    = {arXiv},
  eprint       = {1402.2906},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/LinYPL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/GaoYP14,
  author       = {Jhih{-}Rong Gao and
                  Bei Yu and
                  David Z. Pan},
  title        = {Lithography Hotspot Detection and Mitigation in Nanometer {VLSI}},
  journal      = {CoRR},
  volume       = {abs/1402.3150},
  year         = {2014},
  url          = {http://arxiv.org/abs/1402.3150},
  eprinttype    = {arXiv},
  eprint       = {1402.3150},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/GaoYP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuP14,
  author       = {Bei Yu and
                  David Z. Pan},
  title        = {Layout Decomposition for Quadruple Patterning Lithography and Beyond},
  journal      = {CoRR},
  volume       = {abs/1404.0321},
  year         = {2014},
  url          = {http://arxiv.org/abs/1404.0321},
  eprinttype    = {arXiv},
  eprint       = {1404.0321},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/YuRGP14,
  author       = {Bei Yu and
                  Subhendu Roy and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  title        = {Triple Patterning Lithography {(TPL)} Layout Decomposition using End-Cutting
                  {(JM3} Special Session)},
  journal      = {CoRR},
  volume       = {abs/1408.0407},
  year         = {2014},
  url          = {http://arxiv.org/abs/1408.0407},
  eprinttype    = {arXiv},
  eprint       = {1408.0407},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/YuRGP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WardKVLASP13,
  author       = {Samuel I. Ward and
                  Myung{-}Chul Kim and
                  Natarajan Viswanathan and
                  Zhuo Li and
                  Charles J. Alpert and
                  Earl E. Swartzlander Jr. and
                  David Z. Pan},
  title        = {Structure-Aware Placement Techniques for Designs With Datapaths},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {2},
  pages        = {228--241},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2012.2233862},
  doi          = {10.1109/TCAD.2012.2233862},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WardKVLASP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AthikulwongseYPL13,
  author       = {Krit Athikulwongse and
                  Jae{-}Seok Yang and
                  David Z. Pan and
                  Sung Kyu Lim},
  title        = {Impact of Mechanical Stress on the Full Chip Timing for Through-Silicon-Via-based
                  3-D ICs},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {6},
  pages        = {905--917},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2013.2237770},
  doi          = {10.1109/TCAD.2013.2237770},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AthikulwongseYPL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChakrabortyP13,
  author       = {Ashutosh Chakraborty and
                  David Z. Pan},
  title        = {Skew Management of {NBTI} Impacted Gated Clock Trees},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {6},
  pages        = {918--927},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2012.2195002},
  doi          = {10.1109/TCAD.2012.2195002},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChakrabortyP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JangP13,
  author       = {Wooyoung Jang and
                  David Z. Pan},
  title        = {Chemical-Mechanical Polishing-Aware Application-Specific 3D NoC Design},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {6},
  pages        = {940--951},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2013.2237771},
  doi          = {10.1109/TCAD.2013.2237771},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JangP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PanYG13,
  author       = {David Z. Pan and
                  Bei Yu and
                  Jhih{-}Rong Gao},
  title        = {Design for Manufacturing With Emerging Nanolithography},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {10},
  pages        = {1453--1472},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2013.2276751},
  doi          = {10.1109/TCAD.2013.2276751},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PanYG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JungPL13,
  author       = {Moongon Jung and
                  David Z. Pan and
                  Sung Kyu Lim},
  title        = {Chip/Package Mechanical Stress Impact on 3-D {IC} Reliability and
                  Mobility Variations},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {11},
  pages        = {1694--1707},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2013.2265372},
  doi          = {10.1109/TCAD.2013.2265372},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JungPL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asicon/GaoYDP13,
  author       = {Jhih{-}Rong Gao and
                  Bei Yu and
                  Duo Ding and
                  David Z. Pan},
  title        = {Lithography hotspot detection and mitigation in nanometer {VLSI}},
  booktitle    = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen,
                  China, October 28-31, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASICON.2013.6811917},
  doi          = {10.1109/ASICON.2013.6811917},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/asicon/GaoYDP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YuGP13,
  author       = {Bei Yu and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  title        = {L-shape based layout fracturing for e-beam lithography},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {249--254},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509604},
  doi          = {10.1109/ASPDAC.2013.6509604},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/YuGP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RoyCPP13,
  author       = {Subhendu Roy and
                  Mihir R. Choudhury and
                  Ruchir Puri and
                  David Z. Pan},
  title        = {Towards optimal performance-area trade-off in adders by synthesis
                  of parallel prefix structures},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {48:1--48:8},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488793},
  doi          = {10.1145/2463209.2488793},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RoyCPP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuYGP13,
  author       = {Bei Yu and
                  Kun Yuan and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  title        = {{E-BLOW:} e-beam lithography overlapping aware stencil planning for
                  {MCC} system},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {70:1--70:7},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488819},
  doi          = {10.1145/2463209.2488819},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuYGP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiP13,
  author       = {Yang Li and
                  David Z. Pan},
  title        = {An accurate semi-analytical framework for full-chip TSV-induced stress
                  modeling},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {181:1--181:8},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488957},
  doi          = {10.1145/2463209.2488957},
  timestamp    = {Mon, 03 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/LiP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuLLDLP13,
  author       = {Bei Yu and
                  Yen{-}Hung Lin and
                  Gerard Luk{-}Pat and
                  Duo Ding and
                  Kevin Lucas and
                  David Z. Pan},
  editor       = {J{\"{o}}rg Henkel},
  title        = {A high-performance triple patterning layout decomposer with balanced
                  density},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  ICCAD'13, San Jose, CA, USA, November 18-21, 2013},
  pages        = {163--169},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCAD.2013.6691114},
  doi          = {10.1109/ICCAD.2013.6691114},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YuLLDLP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuXGP13,
  author       = {Bei Yu and
                  Xiaoqing Xu and
                  Jhih{-}Rong Gao and
                  David Z. Pan},
  editor       = {J{\"{o}}rg Henkel},
  title        = {Methodology for standard cell compliance and detailed placement for
                  triple patterning lithography},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  ICCAD'13, San Jose, CA, USA, November 18-21, 2013},
  pages        = {349--356},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCAD.2013.6691142},
  doi          = {10.1109/ICCAD.2013.6691142},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuXGP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PakLP13,
  author       = {Jiwoo Pak and
                  Sung Kyu Lim and
                  David Z. Pan},
  editor       = {J{\"{o}}rg Henkel},
  title        = {Electromigration study for multi-scale power/ground vias in TSV-based
                  3D ICs},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  ICCAD'13, San Jose, CA, USA, November 18-21, 2013},
  pages        = {379--386},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCAD.2013.6691146},
  doi          = {10.1109/ICCAD.2013.6691146},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PakLP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WardVZSLAP13,
  author       = {Samuel I. Ward and
                  Natarajan Viswanathan and
                  Nancy Y. Zhou and
                  Cliff C. N. Sze and
                  Zhuo Li and
                  Charles J. Alpert and
                  David Z. Pan},
  editor       = {J{\"{o}}rg Henkel},
  title        = {Clock power minimization using structured latch templates and decision
                  tree induction},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  ICCAD'13, San Jose, CA, USA, November 18-21, 2013},
  pages        = {599--606},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCAD.2013.6691178},
  doi          = {10.1109/ICCAD.2013.6691178},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WardVZSLAP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/RamalingamSNNOP12,
  author       = {Anand Ramalingam and
                  Ashish Kumar Singh and
                  Sani R. Nassif and
                  Gi{-}Joon Nam and
                  Michael Orshansky and
                  David Z. Pan},
  title        = {An accurate sparse-matrix based framework for statistical static timing
                  analysis},
  journal      = {Integr.},
  volume       = {45},
  number       = {4},
  pages        = {365--375},
  year         = {2012},
  url          = {https://doi.org/10.1016/j.vlsi.2011.03.002},
  doi          = {10.1016/J.VLSI.2011.03.002},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/RamalingamSNNOP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YuanYP12,
  author       = {Kun Yuan and
                  Bei Yu and
                  David Z. Pan},
  title        = {E-Beam Lithography Stencil Planning and Optimization With Overlapped
                  Characters},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {2},
  pages        = {167--179},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2011.2179041},
  doi          = {10.1109/TCAD.2011.2179041},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YuanYP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JungMPL12,
  author       = {Moongon Jung and
                  Joydeep Mitra and
                  David Z. Pan and
                  Sung Kyu Lim},
  title        = {{TSV} Stress-Aware Full-Chip Mechanical Reliability Analysis and Optimization
                  for 3-D {IC}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {8},
  pages        = {1194--1207},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2012.2188400},
  doi          = {10.1109/TCAD.2012.2188400},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JungMPL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/JangP12,
  author       = {Wooyoung Jang and
                  David Z. Pan},
  title        = {{A3MAP:} Architecture-aware analytic mapping for networks-on-chip},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {17},
  number       = {3},
  pages        = {26:1--26:22},
  year         = {2012},
  url          = {https://doi.org/10.1145/2209291.2209299},
  doi          = {10.1145/2209291.2209299},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/JangP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/HeDJBP12,
  author       = {Ou He and
                  Sheqin Dong and
                  Wooyoung Jang and
                  Jinian Bian and
                  David Z. Pan},
  title        = {{UNISM:} Unified Scheduling and Mapping for General Networks on Chip},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {8},
  pages        = {1496--1509},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2159280},
  doi          = {10.1109/TVLSI.2011.2159280},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/HeDJBP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ReddiPNB12,
  author       = {Vijay Janapa Reddi and
                  David Z. Pan and
                  Sani R. Nassif and
                  Keith A. Bowman},
  title        = {Robust and resilient designs from the bottom-up: Technology, CAD,
                  circuit, and system issues},
  booktitle    = {Proceedings of the 17th Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012},
  pages        = {7--16},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ASPDAC.2012.6165064},
  doi          = {10.1109/ASPDAC.2012.6165064},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ReddiPNB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DingYGP12,
  author       = {Duo Ding and
                  Bei Yu and
                  Joydeep Ghosh and
                  David Z. Pan},
  title        = {{EPIC:} Efficient prediction of {IC} manufacturing hotspots with a
                  unified meta-classification formulation},
  booktitle    = {Proceedings of the 17th Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012},
  pages        = {263--270},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ASPDAC.2012.6164956},
  doi          = {10.1109/ASPDAC.2012.6164956},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DingYGP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DingYP12,
  author       = {Duo Ding and
                  Bei Yu and
                  David Z. Pan},
  title        = {{GLOW:} {A} global router for low-power thermal-reliable interconnect
                  synthesis using photonic wavelength multiplexing},
  booktitle    = {Proceedings of the 17th Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012},
  pages        = {621--626},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ASPDAC.2012.6165031},
  doi          = {10.1109/ASPDAC.2012.6165031},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DingYP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PanLAJMPPY12,
  author       = {David Z. Pan and
                  Sung Kyu Lim and
                  Krit Athikulwongse and
                  Moongon Jung and
                  Joydeep Mitra and
                  Jiwoo Pak and
                  Mohit Pathak and
                  Jae{-}Seok Yang},
  title        = {Design for manufacturability and reliability for TSV-based 3D ICs},
  booktitle    = {Proceedings of the 17th Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012},
  pages        = {750--755},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ASPDAC.2012.6165055},
  doi          = {10.1109/ASPDAC.2012.6165055},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/PanLAJMPPY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JungPL12,
  author       = {Moongon Jung and
                  David Z. Pan and
                  Sung Kyu Lim},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Chip/package co-analysis of thermo-mechanical stress and reliability
                  in TSV-based 3D ICs},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {317--326},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228419},
  doi          = {10.1145/2228360.2228419},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/JungPL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WardDP12,
  author       = {Samuel I. Ward and
                  Duo Ding and
                  David Z. Pan},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {{PADE:} a high-performance placer with automatic datapath extraction
                  and evaluation through high dimensional data learning},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {756--761},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228497},
  doi          = {10.1145/2228360.2228497},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WardDP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinYPL12,
  author       = {Yen{-}Hung Lin and
                  Bei Yu and
                  David Z. Pan and
                  Yih{-}Lang Li},
  editor       = {Alan J. Hu},
  title        = {{TRIAD:} {A} triple patterning lithography aware detailed router},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {123--129},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429408},
  doi          = {10.1145/2429384.2429408},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LinYPL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangCCP12,
  author       = {Yilin Zhang and
                  Ashutosh Chakraborty and
                  Salim Chowdhury and
                  David Z. Pan},
  editor       = {Alan J. Hu},
  title        = {Reclaiming over-the-IP-block routing resources with buffering-aware
                  rectilinear Steiner minimum tree construction},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {137--143},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429410},
  doi          = {10.1145/2429384.2429410},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangCCP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuGDBYYCP12,
  author       = {Bei Yu and
                  Jhih{-}Rong Gao and
                  Duo Ding and
                  Yongchan Ban and
                  Jae{-}Seok Yang and
                  Kun Yuan and
                  Minsik Cho and
                  David Z. Pan},
  editor       = {Alan J. Hu},
  title        = {Dealing with {IC} manufacturability in extreme scaling (Embedded tutorial
                  paper)},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {240--242},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429430},
  doi          = {10.1145/2429384.2429430},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YuGDBYYCP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PakLP12,
  author       = {Jiwoo Pak and
                  Sung Kyu Lim and
                  David Z. Pan},
  editor       = {Alan J. Hu},
  title        = {Electromigration-aware routing for 3D ICs with stress-aware {EM} modeling},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {325--332},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429451},
  doi          = {10.1145/2429384.2429451},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PakLP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/GaoP12,
  author       = {Jhih{-}Rong Gao and
                  David Z. Pan},
  editor       = {Jiang Hu and
                  Cheng{-}Kok Koh},
  title        = {Flexible self-aligned double patterning aware detailed routing with
                  prescribed layout planning},
  booktitle    = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA,
                  March 25-28, 2012},
  pages        = {25--32},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2160916.2160923},
  doi          = {10.1145/2160916.2160923},
  timestamp    = {Tue, 06 Nov 2018 11:07:46 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/GaoP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/WardKVLASP12,
  author       = {Samuel I. Ward and
                  Myung{-}Chul Kim and
                  Natarajan Viswanathan and
                  Zhuo Li and
                  Charles J. Alpert and
                  Earl E. Swartzlander Jr. and
                  David Z. Pan},
  editor       = {Jiang Hu and
                  Cheng{-}Kok Koh},
  title        = {Keep it straight: teaching placement how to better handle designs
                  with datapaths},
  booktitle    = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA,
                  March 25-28, 2012},
  pages        = {79--86},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2160916.2160935},
  doi          = {10.1145/2160916.2160935},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/WardKVLASP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/PanGY12,
  author       = {David Z. Pan and
                  Jhih{-}Rong Gao and
                  Bei Yu},
  title        = {{VLSI} {CAD} for emerging nanolithography},
  booktitle    = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation
                  and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSI-DAT.2012.6212644},
  doi          = {10.1109/VLSI-DAT.2012.6212644},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/PanGY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/BanP11,
  author       = {Yongchan Ban and
                  David Z. Pan},
  title        = {Modeling of Layout Aware Line-Edge Roughness and Poly Optimization
                  for Leakage Minimization},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {1},
  number       = {2},
  pages        = {150--159},
  year         = {2011},
  url          = {https://doi.org/10.1109/JETCAS.2011.2159286},
  doi          = {10.1109/JETCAS.2011.2159286},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/BanP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/JangP11,
  author       = {Wooyoung Jang and
                  David Z. Pan},
  title        = {A Voltage-Frequency Island Aware Energy Optimization Framework for
                  Networks-on-Chip},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {1},
  number       = {3},
  pages        = {420--432},
  year         = {2011},
  url          = {https://doi.org/10.1109/JETCAS.2011.2165756},
  doi          = {10.1109/JETCAS.2011.2165756},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/JangP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RajaramP11,
  author       = {Anand Rajaram and
                  David Z. Pan},
  title        = {Robust Chip-Level Clock Tree Synthesis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {6},
  pages        = {877--890},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2011.2106852},
  doi          = {10.1109/TCAD.2011.2106852},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RajaramP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JangP11,
  author       = {Wooyoung Jang and
                  David Z. Pan},
  title        = {Application-Aware NoC Design for Efficient {SDRAM} Access},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {10},
  pages        = {1521--1533},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2011.2160176},
  doi          = {10.1109/TCAD.2011.2160176},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JangP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/DingTP11,
  author       = {Duo Ding and
                  J. Andres Torres and
                  David Z. Pan},
  title        = {High Performance Lithography Hotspot Detection With Successively Refined
                  Pattern Identifications and Machine Learning},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {11},
  pages        = {1621--1634},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2011.2164537},
  doi          = {10.1109/TCAD.2011.2164537},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/DingTP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BobbaCTBEFPM11,
  author       = {Shashikanth Bobba and
                  Ashutosh Chakraborty and
                  Olivier Thomas and
                  Perrine Batude and
                  Thomas Ernst and
                  Olivier Faynot and
                  David Z. Pan and
                  Giovanni De Micheli},
  title        = {{CELONCEL:} Effective design technique for 3-D monolithic integration
                  targeting high performance integrated circuits},
  booktitle    = {Proceedings of the 16th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011},
  pages        = {336--343},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASPDAC.2011.5722210},
  doi          = {10.1109/ASPDAC.2011.5722210},
  timestamp    = {Sun, 24 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/BobbaCTBEFPM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ParkPL11,
  author       = {Chul{-}Hong Park and
                  David Z. Pan and
                  Kevin Lucas},
  title        = {Exploration of {VLSI} {CAD} researches for early design rule evaluation},
  booktitle    = {Proceedings of the 16th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011},
  pages        = {405--406},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASPDAC.2011.5722223},
  doi          = {10.1109/ASPDAC.2011.5722223},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ParkPL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChakrabortyP11,
  author       = {Ashutosh Chakraborty and
                  David Z. Pan},
  title        = {Controlling {NBTI} degradation during static burn-in testing},
  booktitle    = {Proceedings of the 16th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011},
  pages        = {597--602},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASPDAC.2011.5722259},
  doi          = {10.1109/ASPDAC.2011.5722259},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChakrabortyP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YangPZLP11,
  author       = {Jae{-}Seok Yang and
                  Jiwoo Pak and
                  Xin Zhao and
                  Sung Kyu Lim and
                  David Z. Pan},
  title        = {Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs},
  booktitle    = {Proceedings of the 16th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011},
  pages        = {621--626},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASPDAC.2011.5722264},
  doi          = {10.1109/ASPDAC.2011.5722264},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/YangPZLP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DingTPP11,
  author       = {Duo Ding and
                  Andres J. Torres and
                  Fedor G. Pikus and
                  David Z. Pan},
  title        = {High performance lithographic hotspot detection using hierarchically
                  refined machine learning},
  booktitle    = {Proceedings of the 16th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011},
  pages        = {775--780},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASPDAC.2011.5722294},
  doi          = {10.1109/ASPDAC.2011.5722294},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/DingTPP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JungMPL11,
  author       = {Moongon Jung and
                  Joydeep Mitra and
                  David Z. Pan and
                  Sung Kyu Lim},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {{TSV} stress-aware full-chip mechanical reliability analysis and optimization
                  for 3D {IC}},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {188--193},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024767},
  doi          = {10.1145/2024724.2024767},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/JungMPL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BanLP11,
  author       = {Yongchan Ban and
                  Kevin Lucas and
                  David Z. Pan},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Flexible 2D layout decomposition framework for spacer-type double
                  pattering lithography},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {789--794},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024901},
  doi          = {10.1145/2024724.2024901},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BanLP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DingGYP11,
  author       = {Duo Ding and
                  Jhih{-}Rong Gao and
                  Kun Yuan and
                  David Z. Pan},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {{AENEID:} a generic lithography-friendly detailed router based on
                  post-RET data learning and hotspot detection},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {795--800},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024902},
  doi          = {10.1145/2024724.2024902},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DingGYP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuYZDP11,
  author       = {Bei Yu and
                  Kun Yuan and
                  Boyang Zhang and
                  Duo Ding and
                  David Z. Pan},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Layout decomposition for triple patterning lithography},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {1--8},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105297},
  doi          = {10.1109/ICCAD.2011.6105297},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuYZDP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JangHYP11,
  author       = {Wooyoung Jang and
                  Ou He and
                  Jae{-}Seok Yang and
                  David Z. Pan},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Chemical-mechanical polishing aware application-specific 3D NoC design},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {207--212},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105327},
  doi          = {10.1109/ICCAD.2011.6105327},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JangHYP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinBPL11,
  author       = {Yen{-}Hung Lin and
                  Yongchan Ban and
                  David Z. Pan and
                  Yih{-}Lang Li},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Doppler: DPL-aware and OPC-friendly gridless detailed routing with
                  mask density balancing},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {283--289},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105343},
  doi          = {10.1109/ICCAD.2011.6105343},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LinBPL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PathakPPL11,
  author       = {Mohit Pathak and
                  Jiwoo Pak and
                  David Z. Pan and
                  Sung Kyu Lim},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Electromigration modeling and full-chip reliability analysis for {BEOL}
                  interconnect in TSV-based 3D ICs},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {555--562},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105385},
  doi          = {10.1109/ICCAD.2011.6105385},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PathakPPL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JungLSPL11,
  author       = {Moongon Jung and
                  Xi Liu and
                  Suresh K. Sitaraman and
                  David Z. Pan and
                  Sung Kyu Lim},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Full-chip through-silicon-via interfacial crack analysis and optimization
                  for 3D {IC}},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {563--570},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105386},
  doi          = {10.1109/ICCAD.2011.6105386},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JungLSPL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/YuanP11,
  author       = {Kun Yuan and
                  David Z. Pan},
  editor       = {Yao{-}Wen Chang and
                  Jiang Hu},
  title        = {E-beam lithography stencil planning and optimization with overlapped
                  characters},
  booktitle    = {Proceedings of the 2011 International Symposium on Physical Design,
                  {ISPD} 2011, Santa Barbara, California, USA, March 27-30, 2011},
  pages        = {151--158},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/1960397.1960433},
  doi          = {10.1145/1960397.1960433},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/YuanP11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/fteda/Pan10,
  author       = {David Z. Pan and
                  Minsik Cho and
                  Kun Yuan},
  title        = {Manufacturability Aware Routing in Nanometer {VLSI}},
  journal      = {Found. Trends Electron. Des. Autom.},
  volume       = {4},
  number       = {1},
  pages        = {1--97},
  year         = {2010},
  url          = {https://doi.org/10.1561/1000000015},
  doi          = {10.1561/1000000015},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/fteda/Pan10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/YuanYP10,
  author       = {Kun Yuan and
                  Jae{-}Seok Yang and
                  David Z. Pan},
  title        = {Double Patterning Layout Decomposition for Simultaneous Conflict and
                  Stitch Minimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {2},
  pages        = {185--196},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2009.2035577},
  doi          = {10.1109/TCAD.2009.2035577},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/YuanYP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChakrabortySP10,
  author       = {Ashutosh Chakraborty and
                  Sean X. Shi and
                  David Z. Pan},
  title        = {Stress Aware Layout Optimization Leveraging Active Area Dependent
                  Mobility Enhancement},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {10},
  pages        = {1533--1545},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2010.2061173},
  doi          = {10.1109/TCAD.2010.2061173},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChakrabortySP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JangP10,
  author       = {Wooyoung Jang and
                  David Z. Pan},
  title        = {An SDRAM-Aware Router for Networks-on-Chip},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {10},
  pages        = {1572--1585},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2010.2061251},
  doi          = {10.1109/TCAD.2010.2061251},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JangP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RajaramP10,
  author       = {Anand Rajaram and
                  David Z. Pan},
  title        = {MeshWorks: {A} Comprehensive Framework for Optimized Clock Mesh Network
                  Synthesis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {12},
  pages        = {1945--1958},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2010.2061130},
  doi          = {10.1109/TCAD.2010.2061130},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RajaramP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JangP10,
  author       = {Wooyoung Jang and
                  David Z. Pan},
  title        = {{A3MAP:} architecture-aware analytic mapping for networks-on-chip},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {523--528},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419827},
  doi          = {10.1109/ASPDAC.2010.5419827},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/JangP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YangLCYP10,
  author       = {Jae{-}Seok Yang and
                  Katrina Lu and
                  Minsik Cho and
                  Kun Yuan and
                  David Z. Pan},
  title        = {A new graph-theoretic, multi-objective layout decomposition framework
                  for double patterning lithography},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {637--644},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419807},
  doi          = {10.1109/ASPDAC.2010.5419807},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/YangLCYP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BanP10,
  author       = {Yongchan Ban and
                  David Z. Pan},
  editor       = {Sachin S. Sapatnekar},
  title        = {Compact modeling and robust layout optimization for contacts in deep
                  sub-wavelength lithography},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {408--411},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837375},
  doi          = {10.1145/1837274.1837375},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BanP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JangP10,
  author       = {Wooyoung Jang and
                  David Z. Pan},
  editor       = {Sachin S. Sapatnekar},
  title        = {Application-aware NoC design for efficient {SDRAM} access},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {453--456},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837387},
  doi          = {10.1145/1837274.1837387},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JangP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YangALLP10,
  author       = {Jae{-}Seok Yang and
                  Krit Athikulwongse and
                  Young{-}Joon Lee and
                  Sung Kyu Lim and
                  David Z. Pan},
  editor       = {Sachin S. Sapatnekar},
  title        = {{TSV} stress aware timing analysis with applications to 3D-IC layout
                  optimization},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {803--806},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837476},
  doi          = {10.1145/1837274.1837476},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/YangALLP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuanP10,
  author       = {Kun Yuan and
                  David Z. Pan},
  editor       = {Louis Scheffer and
                  Joel R. Phillips and
                  Alan J. Hu},
  title        = {{WISDOM:} Wire spreading enhanced decomposition of masks in Double
                  Patterning Lithography},
  booktitle    = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010,
                  San Jose, CA, USA, November 7-11, 2010},
  pages        = {32--38},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCAD.2010.5654070},
  doi          = {10.1109/ICCAD.2010.5654070},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuanP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChoPP10,
  author       = {Minsik Cho and
                  David Z. Pan and
                  Ruchir Puri},
  editor       = {Louis Scheffer and
                  Joel R. Phillips and
                  Alan J. Hu},
  title        = {Novel binary linear programming for high performance clock mesh synthesis},
  booktitle    = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010,
                  San Jose, CA, USA, November 7-11, 2010},
  pages        = {438--443},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCAD.2010.5653737},
  doi          = {10.1109/ICCAD.2010.5653737},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChoPP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AthikulwongseCYPL10,
  author       = {Krit Athikulwongse and
                  Ashutosh Chakraborty and
                  Jae{-}Seok Yang and
                  David Z. Pan and
                  Sung Kyu Lim},
  editor       = {Louis Scheffer and
                  Joel R. Phillips and
                  Alan J. Hu},
  title        = {Stress-driven 3D-IC placement with {TSV} keep-out zone and regularity
                  study},
  booktitle    = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010,
                  San Jose, CA, USA, November 7-11, 2010},
  pages        = {669--674},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCAD.2010.5654245},
  doi          = {10.1109/ICCAD.2010.5654245},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AthikulwongseCYPL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChakrabortyP10,
  author       = {Ashutosh Chakraborty and
                  David Z. Pan},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{PASAP:} power aware structured {ASIC} placement},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {395--400},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840933},
  doi          = {10.1145/1840845.1840933},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChakrabortyP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/BanSP10,
  author       = {Yongchan Ban and
                  Savithri Sundareswaran and
                  David Z. Pan},
  editor       = {Prashant Saxena and
                  Yao{-}Wen Chang},
  title        = {Total sensitivity based dfm optimization of standard library cells},
  booktitle    = {Proceedings of the 2010 International Symposium on Physical Design,
                  {ISPD} 2010, San Francisco, California, USA, March 14-17, 2010},
  pages        = {113--120},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1735023.1735053},
  doi          = {10.1145/1735023.1735053},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/BanSP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ChakrabortyP10,
  author       = {Ashutosh Chakraborty and
                  David Z. Pan},
  editor       = {Prashant Saxena and
                  Yao{-}Wen Chang},
  title        = {Skew management of {NBTI} impacted gated clock trees},
  booktitle    = {Proceedings of the 2010 International Symposium on Physical Design,
                  {ISPD} 2010, San Francisco, California, USA, March 14-17, 2010},
  pages        = {127--133},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1735023.1735056},
  doi          = {10.1145/1735023.1735056},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ChakrabortyP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChoYBP09,
  author       = {Minsik Cho and
                  Kun Yuan and
                  Yongchan Ban and
                  David Z. Pan},
  title        = {{ELIAD:} Efficient Lithography Aware Detailed Routing Algorithm With
                  Compact and Macro Post-OPC Printability Prediction},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {7},
  pages        = {1006--1016},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2018876},
  doi          = {10.1109/TCAD.2009.2018876},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChoYBP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ChoLYP09,
  author       = {Minsik Cho and
                  Katrina Lu and
                  Kun Yuan and
                  David Z. Pan},
  title        = {BoxRouter 2.0: {A} hybrid and robust global router with layer assignment
                  for routability},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {14},
  number       = {2},
  pages        = {32:1--32:21},
  year         = {2009},
  url          = {https://doi.org/10.1145/1497561.1497575},
  doi          = {10.1145/1497561.1497575},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/ChoLYP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuanLP09,
  author       = {Kun Yuan and
                  Katrina Lu and
                  David Z. Pan},
  title        = {Double patterning lithography friendly detailed routing with redundant
                  via consideration},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {63--66},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1629930},
  doi          = {10.1145/1629911.1629930},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuanLP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DingZHCP09,
  author       = {Duo Ding and
                  Yilin Zhang and
                  Haiyu Huang and
                  Ray T. Chen and
                  David Z. Pan},
  title        = {O-Router: an optical routing framework for low power on-chip silicon
                  nano-photonic integration},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {264--269},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1629983},
  doi          = {10.1145/1629911.1629983},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DingZHCP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChakrabortyKP09,
  author       = {Ashutosh Chakraborty and
                  Anurag Kumar and
                  David Z. Pan},
  title        = {RegPlace: a high quality open-source placement framework for structured
                  ASICs},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {442--447},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630029},
  doi          = {10.1145/1629911.1630029},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChakrabortyKP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JangP09,
  author       = {Wooyoung Jang and
                  David Z. Pan},
  title        = {An SDRAM-aware router for Networks-on-Chip},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {800--805},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630117},
  doi          = {10.1145/1629911.1630117},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JangP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChakrabortyGRP09,
  author       = {Ashutosh Chakraborty and
                  Gokul Ganesan and
                  Anand Rajaram and
                  David Z. Pan},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {Analysis and optimization of {NBTI} induced clock skew in gated clock
                  trees},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {296--299},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090675},
  doi          = {10.1109/DATE.2009.5090675},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ChakrabortyGRP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ChakrabortyP09,
  author       = {Ashutosh Chakraborty and
                  David Z. Pan},
  editor       = {Gi{-}Joon Nam and
                  Prashant Saxena},
  title        = {On stress aware active area sizing, gate sizing, and repeater insertion},
  booktitle    = {Proceedings of the 2009 International Symposium on Physical Design,
                  {ISPD} 2009, San Diego, California, USA, March 29 - April 1, 2009},
  pages        = {35--42},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1514932.1514941},
  doi          = {10.1145/1514932.1514941},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ChakrabortyP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/YuanYP09,
  author       = {Kun Yuan and
                  Jae{-}Seok Yang and
                  David Z. Pan},
  editor       = {Gi{-}Joon Nam and
                  Prashant Saxena},
  title        = {Double patterning layout decomposition for simultaneous conflict and
                  stitch minimization},
  booktitle    = {Proceedings of the 2009 International Symposium on Physical Design,
                  {ISPD} 2009, San Diego, California, USA, March 29 - April 1, 2009},
  pages        = {107--114},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1514932.1514958},
  doi          = {10.1145/1514932.1514958},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/YuanYP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/DingP09,
  author       = {Duo Ding and
                  David Z. Pan},
  editor       = {Chung{-}Kuan Cheng and
                  Sherief Reda},
  title        = {{OIL:} a nano-photonics optical interconnect library for a new photonic
                  networks-on-chip architecture},
  booktitle    = {The 11th International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2009), San Francisco, CA, USA, July 26-27, 2009, Proceedings},
  pages        = {11--18},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1572471.1572475},
  doi          = {10.1145/1572471.1572475},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/DingP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MaddenP08,
  author       = {Patrick H. Madden and
                  David Z. Pan},
  title        = {Guest Editorial},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {4},
  pages        = {608--609},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.918108},
  doi          = {10.1109/TCAD.2008.918108},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/MaddenP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChoXPP08,
  author       = {Minsik Cho and
                  Hua Xiang and
                  Ruchir Puri and
                  David Z. Pan},
  title        = {Track Routing and Optimization for Yield},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {5},
  pages        = {872--882},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.917589},
  doi          = {10.1109/TCAD.2008.917589},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChoXPP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChoP08,
  author       = {Minsik Cho and
                  David Z. Pan},
  title        = {A High-Performance Droplet Routing Algorithm for Digital Microfluidic
                  Biochips},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {10},
  pages        = {1714--1724},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.2003282},
  doi          = {10.1109/TCAD.2008.2003282},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChoP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PanN08,
  author       = {David Z. Pan and
                  Gi{-}Joon Nam},
  title        = {Guest Editorial},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2105--2106},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.2008917},
  doi          = {10.1109/TCAD.2008.2008917},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PanN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChenCPC08,
  author       = {Tung{-}Chieh Chen and
                  Minsik Cho and
                  David Z. Pan and
                  Yao{-}Wen Chang},
  title        = {Metal-Density-Driven Placement for {CMP} Variation and Routability},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {12},
  pages        = {2145--2155},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.2006148},
  doi          = {10.1109/TCAD.2008.2006148},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChenCPC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChoP08,
  author       = {Minsik Cho and
                  David Z. Pan},
  title        = {Fast Substrate Noise Aware Floorplanning for Mixed Signal {SOC} Designs},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {16},
  number       = {12},
  pages        = {1713--1717},
  year         = {2008},
  url          = {https://doi.org/10.1109/TVLSI.2008.2001734},
  doi          = {10.1109/TVLSI.2008.2001734},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChoP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PanC08,
  author       = {David Z. Pan and
                  Minsik Cho},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {Synergistic physical synthesis for manufacturability and variability
                  in 45nm designs and beyond},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {220--225},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4483945},
  doi          = {10.1109/ASPDAC.2008.4483945},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/PanC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RajaramP08,
  author       = {Anand Rajaram and
                  David Z. Pan},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {MeshWorks: An efficient framework for planning, synthesis and optimization
                  of clock mesh networks},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {250--257},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4483951},
  doi          = {10.1109/ASPDAC.2008.4483951},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/RajaramP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LuoP08,
  author       = {Tao Luo and
                  David Z. Pan},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {DPlace2.0: {A} stable and efficient analytical placement based on
                  diffusion},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {346--351},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4483972},
  doi          = {10.1109/ASPDAC.2008.4483972},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LuoP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LuoNP08,
  author       = {Tao Luo and
                  David Newmark and
                  David Z. Pan},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {Total power optimization combining placement, sizing and multi-Vt
                  through slack distribution management},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {352--357},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4483973},
  doi          = {10.1109/ASPDAC.2008.4483973},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LuoNP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bibm/YuCPE08,
  author       = {Peng Yu and
                  Xi Chen and
                  David Z. Pan and
                  Andrew D. Ellington},
  editor       = {Xue{-}wen Chen and
                  Xiaohua Hu and
                  Sun Kim},
  title        = {Synthetic Biology Design and Analysis: {A} Case Study of Frequency
                  Entrained Biological Clock},
  booktitle    = {2008 {IEEE} International Conference on Bioinformatics and Biomedicine,
                  {BIBM} 2008, Philadephia, Pennsylvania, USA, November 3-5, 2008},
  pages        = {329--334},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/BIBM.2008.77},
  doi          = {10.1109/BIBM.2008.77},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/bibm/YuCPE08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChoYBP08,
  author       = {Minsik Cho and
                  Kun Yuan and
                  Yongchan Ban and
                  David Z. Pan},
  editor       = {Limor Fix},
  title        = {{ELIAD:} efficient lithography aware detailed router with compact
                  post-OPC printability prediction},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {504--509},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391598},
  doi          = {10.1145/1391469.1391598},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChoYBP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenCP08,
  author       = {Tung{-}Chieh Chen and
                  Ashutosh Chakraborty and
                  David Z. Pan},
  editor       = {Limor Fix},
  title        = {An integrated nonlinear placement framework with congestion and porosity
                  aware buffer planning},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {702--707},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391651},
  doi          = {10.1145/1391469.1391651},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenCP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RajaramP08,
  author       = {Anand Rajaram and
                  David Z. Pan},
  editor       = {Limor Fix},
  title        = {Robust chip-level clock tree synthesis for {SOC} designs},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {720--723},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391654},
  doi          = {10.1145/1391469.1391654},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RajaramP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChakrabortySP08,
  author       = {Ashutosh Chakraborty and
                  Sean X. Shi and
                  David Z. Pan},
  editor       = {Donatella Sciuto},
  title        = {Layout Level Timing Optimization by Leveraging Active Area Dependent
                  Mobility of Strained-Silicon Devices},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {849--855},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484780},
  doi          = {10.1109/DATE.2008.4484780},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChakrabortySP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ShiRWP08,
  author       = {Sean X. Shi and
                  Anand Ramalingam and
                  Daifeng Wang and
                  David Z. Pan},
  editor       = {Donatella Sciuto},
  title        = {Latch Modeling for Statistical Timing Analysis},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {1136--1141},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484831},
  doi          = {10.1109/DATE.2008.4484831},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ShiRWP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PanRSH08,
  author       = {David Z. Pan and
                  Stephen Renwick and
                  Vivek Singh and
                  Judy Huckabay},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {Nanolithography and {CAD} challenges for 32nm/22nm and beyond},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {6},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681537},
  doi          = {10.1109/ICCAD.2008.4681537},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PanRSH08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LuoPLSAP08,
  author       = {Tao Luo and
                  David A. Papa and
                  Zhuo Li and
                  Chin Ngai Sze and
                  Charles J. Alpert and
                  David Z. Pan},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {Pyramids: an efficient computational geometry-based approach for timing-driven
                  placement},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {204--211},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681575},
  doi          = {10.1109/ICCAD.2008.4681575},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LuoPLSAP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JangDP08,
  author       = {Wooyoung Jang and
                  Duo Ding and
                  David Z. Pan},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {A voltage-frequency island aware energy optimization framework for
                  networks-on-chip},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {264--269},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681584},
  doi          = {10.1109/ICCAD.2008.4681584},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JangDP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YangP08,
  author       = {Jae{-}Seok Yang and
                  David Z. Pan},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {Overlay aware interconnect and timing variation modeling for double
                  patterning technology},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {488--493},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681619},
  doi          = {10.1109/ICCAD.2008.4681619},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YangP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChoBP08,
  author       = {Minsik Cho and
                  Yongchan Ban and
                  David Z. Pan},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {Double patterning technology friendly detailed routing},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {506--511},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681622},
  doi          = {10.1109/ICCAD.2008.4681622},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChoBP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ChenCPC08,
  author       = {Tung{-}Chieh Chen and
                  Minsik Cho and
                  David Z. Pan and
                  Yao{-}Wen Chang},
  editor       = {David Z. Pan and
                  Gi{-}Joon Nam},
  title        = {Metal-density driven placement for cmp variation and routability},
  booktitle    = {Proceedings of the 2008 International Symposium on Physical Design,
                  {ISPD} 2008, Portland, Oregon, USA, April 13-16, 2008},
  pages        = {31--38},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1353629.1353638},
  doi          = {10.1145/1353629.1353638},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ChenCPC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ChoP08,
  author       = {Minsik Cho and
                  David Z. Pan},
  editor       = {David Z. Pan and
                  Gi{-}Joon Nam},
  title        = {A high-performance droplet router for digital microfluidic biochips},
  booktitle    = {Proceedings of the 2008 International Symposium on Physical Design,
                  {ISPD} 2008, Portland, Oregon, USA, April 13-16, 2008},
  pages        = {200--206},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1353629.1353672},
  doi          = {10.1145/1353629.1353672},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ChoP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Pan08,
  author       = {David Z. Pan},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Synergistic modeling and optimization for nanometer {IC} design/manufacturing
                  integration},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404374},
  doi          = {10.1145/1404371.1404374},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Pan08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Pan08a,
  author       = {David Z. Pan},
  editor       = {Marcelo Lubaszewski and
                  Michel Renovell and
                  Rajesh K. Gupta},
  title        = {Lithography friendly routing: from construct-by-correction to correct-by-construction},
  booktitle    = {Proceedings of the 21st Annual Symposium on Integrated Circuits and
                  Systems Design, {SBCCI} 2008, Gramado, Brazil, September 1-4, 2008},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1404371.1404380},
  doi          = {10.1145/1404371.1404380},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Pan08a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ispd/2008,
  editor       = {David Z. Pan and
                  Gi{-}Joon Nam},
  title        = {Proceedings of the 2008 International Symposium on Physical Design,
                  {ISPD} 2008, Portland, Oregon, USA, April 13-16, 2008},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1353629},
  doi          = {10.1145/1353629},
  isbn         = {978-1-60558-048-7},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/2008.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/crc/ChoMP08,
  author       = {Minsik Cho and
                  Joydeep Mitra and
                  David Z. Pan},
  editor       = {Charles J. Alpert and
                  Dinesh P. Mehta and
                  Sachin S. Sapatnekar},
  title        = {Manufacturability-Aware Routing},
  booktitle    = {Handbook of Algorithms for Physical Design Automation},
  publisher    = {Auerbach Publications},
  year         = {2008},
  url          = {https://doi.org/10.1201/9781420013481.ch38},
  doi          = {10.1201/9781420013481.CH38},
  timestamp    = {Mon, 26 Oct 2020 09:04:39 +0100},
  biburl       = {https://dblp.org/rec/reference/crc/ChoMP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/crc/PanHR08,
  author       = {David Z. Pan and
                  Bill Halpin and
                  Haoxing Ren},
  editor       = {Charles J. Alpert and
                  Dinesh P. Mehta and
                  Sachin S. Sapatnekar},
  title        = {Timing-Driven Placement},
  booktitle    = {Handbook of Algorithms for Physical Design Automation},
  publisher    = {Auerbach Publications},
  year         = {2008},
  url          = {https://doi.org/10.1201/9781420013481.ch21},
  doi          = {10.1201/9781420013481.CH21},
  timestamp    = {Wed, 12 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/reference/crc/PanHR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/RamalingamDP07,
  author       = {Anand Ramalingam and
                  Anirudh Devgan and
                  David Z. Pan},
  title        = {Wakeup Scheduling in {MTCMOS} Circuits Using Successive Relaxation
                  to Minimize Ground Bounce},
  journal      = {J. Low Power Electron.},
  volume       = {3},
  number       = {1},
  pages        = {28--35},
  year         = {2007},
  url          = {https://doi.org/10.1166/jolpe.2007.116},
  doi          = {10.1166/JOLPE.2007.116},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/RamalingamDP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChoP07,
  author       = {Minsik Cho and
                  David Z. Pan},
  title        = {BoxRouter: {A} New Global Router Based on Box Expansion and Progressive
                  {ILP}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {12},
  pages        = {2130--2143},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.907003},
  doi          = {10.1109/TCAD.2007.907003},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChoP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RenPAVN07,
  author       = {Haoxing Ren and
                  David Z. Pan and
                  Charles J. Alpert and
                  Paul G. Villarrubia and
                  Gi{-}Joon Nam},
  title        = {Diffusion-Based Placement Migration With Application on Legalization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {12},
  pages        = {2158--2172},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.907005},
  doi          = {10.1109/TCAD.2007.907005},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RenPAVN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RenPANV07,
  author       = {Haoxing Ren and
                  David Z. Pan and
                  Charles J. Alpert and
                  Gi{-}Joon Nam and
                  Paul G. Villarrubia},
  title        = {Hippocrates: First-Do-No-Harm Detailed Placement},
  booktitle    = {Proceedings of the 12th Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007},
  pages        = {141--146},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ASPDAC.2007.357976},
  doi          = {10.1109/ASPDAC.2007.357976},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/RenPANV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChoXPP07,
  author       = {Minsik Cho and
                  Hua Xiang and
                  Ruchir Puri and
                  David Z. Pan},
  title        = {{TROY:} Track Router with Yield-driven Wire Planning},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {55--58},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278495},
  doi          = {10.1145/1278480.1278495},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChoXPP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RamalingamSNOP07,
  author       = {Anand Ramalingam and
                  Ashish Kumar Singh and
                  Sani R. Nassif and
                  Michael Orshansky and
                  David Z. Pan},
  title        = {Accurate Waveform Modeling using Singular Value Decomposition with
                  Applications to Timing Analysis},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {148--153},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278517},
  doi          = {10.1145/1278480.1278517},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RamalingamSNOP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChoLYP07,
  author       = {Minsik Cho and
                  Katrina Lu and
                  Kun Yuan and
                  David Z. Pan},
  editor       = {Georges G. E. Gielen},
  title        = {BoxRouter 2.0: architecture and implementation of a hybrid and robust
                  global router},
  booktitle    = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007,
                  San Jose, CA, USA, November 5-8, 2007},
  pages        = {503--508},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCAD.2007.4397314},
  doi          = {10.1109/ICCAD.2007.4397314},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChoLYP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuP07,
  author       = {Peng Yu and
                  David Z. Pan},
  editor       = {Georges G. E. Gielen},
  title        = {{TIP-OPC:} a new topological invariant paradigm for pixel based optical
                  proximity correction},
  booktitle    = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007,
                  San Jose, CA, USA, November 5-8, 2007},
  pages        = {847--853},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCAD.2007.4397370},
  doi          = {10.1109/ICCAD.2007.4397370},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuP07a,
  author       = {Peng Yu and
                  David Z. Pan},
  editor       = {Georges G. E. Gielen},
  title        = {A novel intensity based optical proximity correction algorithm with
                  speedup in lithography simulation},
  booktitle    = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007,
                  San Jose, CA, USA, November 5-8, 2007},
  pages        = {854--859},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCAD.2007.4397371},
  doi          = {10.1109/ICCAD.2007.4397371},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuP07a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/RamalingamDP07,
  author       = {Anand Ramalingam and
                  Giri Devarayanadurg and
                  David Z. Pan},
  editor       = {Patrick H. Madden and
                  David Z. Pan},
  title        = {Accurate power grid analysis with behavioral transistor network modeling},
  booktitle    = {Proceedings of the 2007 International Symposium on Physical Design,
                  {ISPD} 2007, Austin, Texas, USA, March 18-21, 2007},
  pages        = {43--50},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1231996.1232007},
  doi          = {10.1145/1231996.1232007},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/RamalingamDP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/NamYPM07,
  author       = {Gi{-}Joon Nam and
                  Mehmet Can Yildiz and
                  David Z. Pan and
                  Patrick H. Madden},
  editor       = {Patrick H. Madden and
                  David Z. Pan},
  title        = {{ISPD} placement contest updates and {ISPD} 2007 global routing contest},
  booktitle    = {Proceedings of the 2007 International Symposium on Physical Design,
                  {ISPD} 2007, Austin, Texas, USA, March 18-21, 2007},
  pages        = {167},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1231996.1232029},
  doi          = {10.1145/1231996.1232029},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/NamYPM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YangRSCP07,
  author       = {Joon{-}Sung Yang and
                  Anand Rajaram and
                  Ninghy Shi and
                  Jian Chen and
                  David Z. Pan},
  title        = {Sensitivity Based Link Insertion for Variation Tolerant Clock Network
                  Synthesis},
  booktitle    = {8th International Symposium on Quality of Electronic Design {(ISQED}
                  2007), 26-28 March 2007, San Jose, CA, {USA}},
  pages        = {398--403},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISQED.2007.142},
  doi          = {10.1109/ISQED.2007.142},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YangRSCP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/07/0002P07,
  author       = {Tao Luo and
                  David Z. Pan},
  editor       = {Gi{-}Joon Nam and
                  Jason Cong},
  title        = {DPlace: Anchor Cell-Based Quadratic Placement with Linear Objective},
  booktitle    = {Modern Circuit Placement, Best Practices and Results},
  pages        = {39--58},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-0-387-68739-1\_3},
  doi          = {10.1007/978-0-387-68739-1\_3},
  timestamp    = {Thu, 21 Sep 2017 11:25:30 +0200},
  biburl       = {https://dblp.org/rec/books/sp/07/0002P07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/ispd/2007,
  editor       = {Patrick H. Madden and
                  David Z. Pan},
  title        = {Proceedings of the 2007 International Symposium on Physical Design,
                  {ISPD} 2007, Austin, Texas, USA, March 18-21, 2007},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1231996},
  doi          = {10.1145/1231996},
  isbn         = {978-1-59593-613-4},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RamalingamKDP06,
  author       = {Anand Ramalingam and
                  Sreekumar V. Kodakara and
                  Anirudh Devgan and
                  David Z. Pan},
  editor       = {Fumiyasu Hirose},
  title        = {Robust analytical gate delay modeling for low voltage circuits},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {61--66},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594646},
  doi          = {10.1109/ASPDAC.2006.1594646},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/RamalingamKDP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShiP06,
  author       = {Sean X. Shi and
                  David Z. Pan},
  editor       = {Fumiyasu Hirose},
  title        = {Wire sizing with scattering effect for nanoscale interconnection},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {503--508},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594735},
  doi          = {10.1109/ASPDAC.2006.1594735},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShiP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChoSP06,
  author       = {Minsik Cho and
                  Hongjoong Shin and
                  David Z. Pan},
  editor       = {Fumiyasu Hirose},
  title        = {Fast substrate noise-aware floorplanning with preference directed
                  graph for mixed-signal SOCs},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {765--770},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594778},
  doi          = {10.1109/ASPDAC.2006.1594778},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChoSP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChoP06,
  author       = {Minsik Cho and
                  David Z. Pan},
  editor       = {Ellen Sentovich},
  title        = {BoxRouter: a new global router based on box expansion and progressive
                  {ILP}},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {373--378},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147009},
  doi          = {10.1145/1146909.1147009},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChoP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuSP06,
  author       = {Peng Yu and
                  Sean X. Shi and
                  David Z. Pan},
  editor       = {Ellen Sentovich},
  title        = {Process variation aware {OPC} with variational lithography modeling},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {785--790},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147108},
  doi          = {10.1145/1146909.1147108},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuSP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LuoNP06,
  author       = {Tao Luo and
                  David Newmark and
                  David Z. Pan},
  editor       = {Ellen Sentovich},
  title        = {A new {LP} based incremental timing driven placement for high performance
                  designs},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {1115--1120},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147190},
  doi          = {10.1145/1146909.1147190},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LuoNP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RamalingamNSONP06,
  author       = {Anand Ramalingam and
                  Gi{-}Joon Nam and
                  Ashish Kumar Singh and
                  Michael Orshansky and
                  Sani R. Nassif and
                  David Z. Pan},
  editor       = {Soha Hassoun},
  title        = {An accurate sparse matrix based framework for statistical static timing
                  analysis},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {231--236},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233547},
  doi          = {10.1145/1233501.1233547},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RamalingamNSONP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShiYP06,
  author       = {Sean X. Shi and
                  Peng Yu and
                  David Z. Pan},
  editor       = {Soha Hassoun},
  title        = {A unified non-rectangular device and circuit simulation model for
                  timing and power},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {423--428},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233585},
  doi          = {10.1145/1233501.1233585},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ShiYP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChoPXP06,
  author       = {Minsik Cho and
                  David Z. Pan and
                  Hua Xiang and
                  Ruchir Puri},
  editor       = {Soha Hassoun},
  title        = {Wire density driven global routing for {CMP} variation and timing},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {487--492},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233599},
  doi          = {10.1145/1233501.1233599},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChoPXP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/DuttaP06,
  author       = {Avijit Dutta and
                  David Z. Pan},
  title        = {Partial Functional Manipulation Based Wirelength Minimization},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {344--349},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380839},
  doi          = {10.1109/ICCD.2006.4380839},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/DuttaP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/RajaramP06,
  author       = {Anand Rajaram and
                  David Z. Pan},
  editor       = {Louis Scheffer},
  title        = {Variation tolerant buffered clock network synthesis with cross links},
  booktitle    = {Proceedings of the 2006 International Symposium on Physical Design,
                  {ISPD} 2006, San Jose, California, USA, April 9-12, 2006},
  pages        = {157--164},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1123008.1123038},
  doi          = {10.1145/1123008.1123038},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/RajaramP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RajaramP06,
  author       = {Anand Rajaram and
                  David Z. Pan},
  title        = {Fast Incremental Link Insertion in Clock Networks for Skew Variability
                  Reduction},
  booktitle    = {7th International Symposium on Quality of Electronic Design {(ISQED}
                  2006), 27-29 March 2006, San Jose, CA, {USA}},
  pages        = {79--84},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISQED.2006.66},
  doi          = {10.1109/ISQED.2006.66},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RajaramP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HavlirP06,
  author       = {Andrew Havlir and
                  David Z. Pan},
  title        = {Simultaneous Statistical Delay and Slew Optimization for Interconnect
                  Pipelines},
  booktitle    = {7th International Symposium on Quality of Electronic Design {(ISQED}
                  2006), 27-29 March 2006, San Jose, CA, {USA}},
  pages        = {171--178},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISQED.2006.118},
  doi          = {10.1109/ISQED.2006.118},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/HavlirP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RamalingamPLN06,
  author       = {Anand Ramalingam and
                  David Z. Pan and
                  Frank Liu and
                  Sani R. Nassif},
  title        = {Accurate Thermal Analysis Considering Nonlinear Thermal Conductivity},
  booktitle    = {7th International Symposium on Quality of Electronic Design {(ISQED}
                  2006), 27-29 March 2006, San Jose, CA, {USA}},
  pages        = {644--649},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISQED.2006.20},
  doi          = {10.1109/ISQED.2006.20},
  timestamp    = {Fri, 23 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/RamalingamPLN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChoP06,
  author       = {Minsik Cho and
                  David Z. Pan},
  title        = {{PEAKASO:} Peak-Temperature Aware Scan-Vector Optimization},
  booktitle    = {24th {IEEE} {VLSI} Test Symposium {(VTS} 2006), 30 April - 4 May 2006,
                  Berkeley, California, {USA}},
  pages        = {52--57},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VTS.2006.56},
  doi          = {10.1109/VTS.2006.56},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ChoP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/RenPK05,
  author       = {Haoxing Ren and
                  David Zhigang Pan and
                  David S. Kung},
  title        = {Sensitivity guided net weighting for placement-driven synthesis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {24},
  number       = {5},
  pages        = {711--721},
  year         = {2005},
  url          = {https://doi.org/10.1109/TCAD.2005.846367},
  doi          = {10.1109/TCAD.2005.846367},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/RenPK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/RamalingamZDP05,
  author       = {Anand Ramalingam and
                  Bin Zhang and
                  Anirudh Devgan and
                  David Z. Pan},
  editor       = {Tingao Tang},
  title        = {Sleep transistor sizing using timing criticality and temporal currents},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {1094--1097},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120832},
  doi          = {10.1145/1120725.1120832},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/RamalingamZDP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/XuTPW05,
  author       = {Gang Xu and
                  Ruiqi Tian and
                  David Z. Pan and
                  Martin D. F. Wong},
  editor       = {Tingao Tang},
  title        = {{CMP} aware shuttle mask floorplanning},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {1111--1114},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120836},
  doi          = {10.1145/1120725.1120836},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/XuTPW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/XuHPW05,
  author       = {Gang Xu and
                  Li{-}Da Huang and
                  David Z. Pan and
                  Martin D. F. Wong},
  editor       = {Tingao Tang},
  title        = {Redundant-via enhanced maze routing for yield improvement},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {1148--1151},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120927},
  doi          = {10.1145/1120725.1120927},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/XuHPW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MitraYP05,
  author       = {Joydeep Mitra and
                  Peng Yu and
                  David Zhigang Pan},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {{RADAR:} RET-aware detailed routing using fast lithography simulations},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {369--372},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065678},
  doi          = {10.1145/1065579.1065678},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MitraYP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RenPAV05,
  author       = {Haoxing Ren and
                  David Zhigang Pan and
                  Charles J. Alpert and
                  Paul Villarrubia},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {Diffusion-based placement migration},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {515--520},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065712},
  doi          = {10.1145/1065579.1065712},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/RenPAV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LuoRAP05,
  author       = {Tao Luo and
                  Haoxing Ren and
                  Charles J. Alpert and
                  David Zhigang Pan},
  title        = {Computational geometry based placement migration},
  booktitle    = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005,
                  San Jose, CA, USA, November 6-10, 2005},
  pages        = {41--47},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCAD.2005.1560038},
  doi          = {10.1109/ICCAD.2005.1560038},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LuoRAP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChoAP05,
  author       = {Minsik Cho and
                  Suhail Ahmed and
                  David Z. Pan},
  title        = {{TACO:} temperature aware clock-tree optimization},
  booktitle    = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005,
                  San Jose, CA, USA, November 6-10, 2005},
  pages        = {582--587},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCAD.2005.1560133},
  doi          = {10.1109/ICCAD.2005.1560133},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChoAP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/RajaramPH05,
  author       = {Anand Rajaram and
                  David Z. Pan and
                  Jiang Hu},
  editor       = {Patrick Groeneveld and
                  Louis Scheffer},
  title        = {Improved algorithms for link-based non-tree clock networks for skew
                  variability reduction},
  booktitle    = {Proceedings of the 2005 International Symposium on Physical Design,
                  {ISPD} 2005, San Francisco, California, USA, April 3-6, 2005},
  pages        = {55--62},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1055137.1055150},
  doi          = {10.1145/1055137.1055150},
  timestamp    = {Tue, 06 Nov 2018 11:07:46 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/RajaramPH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RenPV04,
  author       = {Haoxing Ren and
                  David Zhigang Pan and
                  Paul Villarrubia},
  title        = {True crosstalk aware incremental placement with noise map},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {402--409},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382608},
  doi          = {10.1109/ICCAD.2004.1382608},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RenPV04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/RenPK04,
  author       = {Haoxing Ren and
                  David Zhigang Pan and
                  David S. Kung},
  editor       = {Charles J. Alpert and
                  Patrick Groeneveld},
  title        = {Sensitivity guided net weighting for placement driven synthesis},
  booktitle    = {Proceedings of the 2004 International Symposium on Physical Design,
                  {ISPD} 2004, Phoenix, Arizona, USA, April 18-21, 2004},
  pages        = {10--17},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/981066.981070},
  doi          = {10.1145/981066.981070},
  timestamp    = {Tue, 06 Nov 2018 11:07:46 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/RenPK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChangCPY03,
  author       = {Chin{-}Chih Chang and
                  Jason Cong and
                  David Zhigang Pan and
                  Xin Yuan},
  title        = {Multilevel global placement with congestion control},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {22},
  number       = {4},
  pages        = {395--409},
  year         = {2003},
  url          = {https://doi.org/10.1109/TCAD.2003.809661},
  doi          = {10.1109/TCAD.2003.809661},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChangCPY03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PuriSCKPSSK03,
  author       = {Ruchir Puri and
                  Leon Stok and
                  John M. Cohn and
                  David S. Kung and
                  David Z. Pan and
                  Dennis Sylvester and
                  Ashish Srivastava and
                  Sarvesh H. Kulkarni},
  title        = {Pushing {ASIC} performance in a power envelope},
  booktitle    = {Proceedings of the 40th Design Automation Conference, {DAC} 2003,
                  Anaheim, CA, USA, June 2-6, 2003},
  pages        = {788--793},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/775832.776032},
  doi          = {10.1145/775832.776032},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PuriSCKPSSK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CongP02,
  author       = {Jason Cong and
                  David Zhigang Pan},
  title        = {Wire width planning for interconnect performance optimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {21},
  number       = {3},
  pages        = {319--329},
  year         = {2002},
  url          = {https://doi.org/10.1109/43.986425},
  doi          = {10.1109/43.986425},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/CongP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/ChangCP02,
  author       = {Chin{-}Chih Chang and
                  Jason Cong and
                  David Zhigang Pan},
  editor       = {Sachin S. Sapatnekar and
                  Massoud Pedram},
  title        = {Physical hierarchy generation with routing congestion control},
  booktitle    = {Proceedings of 2002 International Symposium on Physical Design, {ISPD}
                  2002, Del Mar, CA, USA, April 7-10, 2002},
  pages        = {36--41},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/505388.505399},
  doi          = {10.1145/505388.505399},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/ChangCP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CongP01,
  author       = {Jason Cong and
                  David Zhigang Pan},
  title        = {Interconnect performance estimation models for design planning},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {20},
  number       = {6},
  pages        = {739--752},
  year         = {2001},
  url          = {https://doi.org/10.1109/43.924827},
  doi          = {10.1109/43.924827},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/CongP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CongHKP01,
  author       = {Jason Cong and
                  Lei He and
                  Cheng{-}Kok Koh and
                  David Zhigang Pan},
  title        = {Interconnect sizing and spacing with consideration of couplingcapacitance},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {20},
  number       = {9},
  pages        = {1164--1169},
  year         = {2001},
  url          = {https://doi.org/10.1109/43.945311},
  doi          = {10.1109/43.945311},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/CongHKP01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CongPS01,
  author       = {Jason Cong and
                  David Zhigang Pan and
                  Prasanna V. Srinivas},
  editor       = {Satoshi Goto},
  title        = {Improved crosstalk modeling for noise constrained interconnect optimization},
  booktitle    = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation
                  Conference 2001, January 30-February 2, 2001, Yokohama, Japan},
  pages        = {373--378},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/370155.370414},
  doi          = {10.1145/370155.370414},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/CongPS01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CongP99,
  author       = {Jason Cong and
                  David Zhigang Pan},
  title        = {Interconnect Delay Estimation Models for Synthesis and Design Planning},
  booktitle    = {Proceedings of the 1999 Conference on Asia South Pacific Design Automation,
                  Wanchai, Hong Kong, China, January 18-21, 1999},
  pages        = {97--100},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ASPDAC.1999.759720},
  doi          = {10.1109/ASPDAC.1999.759720},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/CongP99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CongP99,
  author       = {Jason Cong and
                  David Zhigang Pan},
  editor       = {Mary Jane Irwin},
  title        = {Interconnect Estimation and Dlanning for Deep Submicron Designs},
  booktitle    = {Proceedings of the 36th Conference on Design Automation, New Orleans,
                  LA, USA, June 21-25, 1999},
  pages        = {507--510},
  publisher    = {{ACM} Press},
  year         = {1999},
  url          = {https://doi.org/10.1145/309847.309988},
  doi          = {10.1145/309847.309988},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CongP99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongKP99,
  author       = {Jason Cong and
                  Tianming Kong and
                  David Zhigang Pan},
  editor       = {Jacob K. White and
                  Ellen Sentovich},
  title        = {Buffer block planning for interconnect-driven floorplanning},
  booktitle    = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided
                  Design, 1999, San Jose, California, USA, November 7-11, 1999},
  pages        = {358--363},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICCAD.1999.810675},
  doi          = {10.1109/ICCAD.1999.810675},
  timestamp    = {Mon, 08 May 2023 21:43:38 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CongKP99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongPHKK97,
  author       = {Jason Cong and
                  David Zhigang Pan and
                  Lei He and
                  Cheng{-}Kok Koh and
                  Kei{-}Yong Khoo},
  editor       = {Ralph H. J. M. Otten and
                  Hiroto Yasuura},
  title        = {Interconnect design for deep submicron ICs},
  booktitle    = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997},
  pages        = {478--485},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1997},
  url          = {https://dl.acm.org/citation.cfm?id=266534},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CongPHKK97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongHKP97,
  author       = {Jason Cong and
                  Lei He and
                  Cheng{-}Kok Koh and
                  David Zhigang Pan},
  editor       = {Ralph H. J. M. Otten and
                  Hiroto Yasuura},
  title        = {Global interconnect sizing and spacing with consideration of coupling
                  capacitance},
  booktitle    = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997},
  pages        = {628--633},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1997},
  url          = {https://doi.org/10.1109/ICCAD.1997.643604},
  doi          = {10.1109/ICCAD.1997.643604},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CongHKP97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics