BibTeX records: Li-C. Wang

download as .bib file

@inproceedings{DBLP:conf/itc/DupreeYZW23,
  author       = {Matthew Dupree and
                  Min Jian Yang and
                  Yueling Jenny Zeng and
                  Li{-}C. Wang},
  title        = {IEA-Plot: Conducting Wafer-Based Data Analytics Through Chat},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA,
                  October 7-15, 2023},
  pages        = {122--131},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ITC51656.2023.00028},
  doi          = {10.1109/ITC51656.2023.00028},
  timestamp    = {Tue, 09 Jan 2024 17:03:11 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DupreeYZW23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangR23,
  author       = {Li{-}C. Wang and
                  Jeff Rearick},
  title        = {Welcome Message {ITC} 2023},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA,
                  October 7-15, 2023},
  pages        = {xiii},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ITC51656.2023.00005},
  doi          = {10.1109/ITC51656.2023.00005},
  timestamp    = {Tue, 09 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2310-04949,
  author       = {Yueling Zeng and
                  Li{-}C. Wang},
  title        = {Domain Knowledge Graph Construction Via {A} Simple Checker},
  journal      = {CoRR},
  volume       = {abs/2310.04949},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2310.04949},
  doi          = {10.48550/ARXIV.2310.04949},
  eprinttype    = {arXiv},
  eprint       = {2310.04949},
  timestamp    = {Fri, 20 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-04949.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/YangZW22,
  author       = {Min Jian Yang and
                  Yueling Zeng and
                  Li{-}C. Wang},
  title        = {Language Driven Analytics for Failure Pattern Feedforward and Feedback},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA,
                  September 23-30, 2022},
  pages        = {288--297},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ITC50671.2022.00037},
  doi          = {10.1109/ITC50671.2022.00037},
  timestamp    = {Thu, 05 Jan 2023 13:13:27 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/YangZW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/ZengYW22,
  author       = {Yueling Jenny Zeng and
                  Min Jian Yang and
                  Li{-}C. Wang},
  title        = {Wafer Map Pattern Analytics Driven By Natural Language Queries},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2022, Taipei,
                  Taiwan, August 24-26, 2022},
  pages        = {31--36},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ITCAsia55616.2022.00016},
  doi          = {10.1109/ITCASIA55616.2022.00016},
  timestamp    = {Mon, 21 Nov 2022 17:42:16 +0100},
  biburl       = {https://dblp.org/rec/conf/itc-asia/ZengYW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ZengWS21,
  author       = {Yueling Jenny Zeng and
                  Li{-}C. Wang and
                  Chuanhe Jay Shan},
  title        = {MINiature Interactive Offset Networks (MINIONs) for Wafer Map Classification},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA,
                  October 10-15, 2021},
  pages        = {190--199},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ITC50571.2021.00027},
  doi          = {10.1109/ITC50571.2021.00027},
  timestamp    = {Mon, 29 Nov 2021 13:19:22 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ZengWS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ZengWSS20,
  author       = {Yueling Jenny Zeng and
                  Li{-}C. Wang and
                  Chuanhe Jay Shan and
                  Nik Sumikawa},
  title        = {Learning {A} Wafer Feature With One Training Sample},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC,
                  USA, November 1-6, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ITC44778.2020.9325254},
  doi          = {10.1109/ITC44778.2020.9325254},
  timestamp    = {Mon, 25 Jan 2021 08:44:58 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ZengWSS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangSW19,
  author       = {Li{-}C. Wang and
                  Chuanhe Jay Shan and
                  Ahmed Wahba},
  editor       = {David Z. Pan},
  title        = {Facilitating Deployment Of {A} Wafer-Based Analytic Software Using
                  Tensor Methods: Invited Paper},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942043},
  doi          = {10.1109/ICCAD45719.2019.8942043},
  timestamp    = {Wed, 19 Feb 2020 16:38:01 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangSW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ShanWWS19,
  author       = {Chuanhe Jay Shan and
                  Ahmed Wahba and
                  Li{-}C. Wang and
                  Nik Sumikawa},
  title        = {Deploying {A} Machine Learning Solution As {A} Surrogate},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000109},
  doi          = {10.1109/ITC44170.2019.9000109},
  timestamp    = {Mon, 24 Feb 2020 17:28:46 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ShanWWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc-asia/WahbaSWS19,
  author       = {Ahmed Wahba and
                  Chuanhe Jay Shan and
                  Li{-}C. Wang and
                  Nik Sumikawa},
  title        = {Wafer Plot Classification Using Neural Networks and Tensor Methods},
  booktitle    = {{IEEE} International Test Conference in Asia, ITC-Asia 2019, Tokyo,
                  Japan, September 3-5, 2019},
  pages        = {79--84},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC-Asia.2019.00027},
  doi          = {10.1109/ITC-ASIA.2019.00027},
  timestamp    = {Tue, 12 Nov 2019 16:51:04 +0100},
  biburl       = {https://dblp.org/rec/conf/itc-asia/WahbaSWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/WahbaSWS19,
  author       = {Ahmed Wahba and
                  Chuanhe Jay Shan and
                  Li{-}C. Wang and
                  Nik Sumikawa},
  title        = {Primitive Concept Identification In {A} Given Set Of Wafer Maps},
  booktitle    = {International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT}
                  2019, Hsinchu, Taiwan, April 22-25, 2019},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSI-DAT.2019.8741856},
  doi          = {10.1109/VLSI-DAT.2019.8741856},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/WahbaSWS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WahbaWZS19,
  author       = {Ahmed Wahba and
                  Li{-}C. Wang and
                  Zheng Zhang and
                  Nik Sumikawa},
  title        = {Wafer Pattern Recognition Using Tucker Decomposition},
  booktitle    = {37th {IEEE} {VLSI} Test Symposium, {VTS} 2019, Monterey, CA, USA,
                  April 23-25, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VTS.2019.8758667},
  doi          = {10.1109/VTS.2019.8758667},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/WahbaWZS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/Wang18,
  author       = {Li{-}C. Wang},
  editor       = {Chris Chu and
                  Ismail Bustany},
  title        = {Machine Learning for Feature-Based Analytics},
  booktitle    = {Proceedings of the 2018 International Symposium on Physical Design,
                  {ISPD} 2018, Monterey, CA, USA, March 25-28, 2018},
  pages        = {74--81},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3177540.3177555},
  doi          = {10.1145/3177540.3177555},
  timestamp    = {Wed, 21 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/Wang18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/NeroSWS18,
  author       = {Matthew Nero and
                  Chuanhe Jay Shan and
                  Li{-}C. Wang and
                  Nik Sumikawa},
  title        = {Concept Recognition in Production Yield Data Analytics},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA,
                  October 29 - Nov. 1, 2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TEST.2018.8624714},
  doi          = {10.1109/TEST.2018.8624714},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/NeroSWS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/Wang18,
  author       = {Li{-}C. Wang},
  title        = {An Autonomous System View To Apply Machine Learning},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA,
                  October 29 - Nov. 1, 2018},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/TEST.2018.8624844},
  doi          = {10.1109/TEST.2018.8624844},
  timestamp    = {Sat, 26 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/Wang18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChakrabartyWVH18,
  author       = {Krishnendu Chakrabarty and
                  Li{-}C. Wang and
                  Gaurav Veda and
                  Yu Huang},
  title        = {Special session on machine learning for test and diagnosis},
  booktitle    = {36th {IEEE} {VLSI} Test Symposium, {VTS} 2018, San Francisco, CA,
                  USA, April 22-25, 2018},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/VTS.2018.8368658},
  doi          = {10.1109/VTS.2018.8368658},
  timestamp    = {Tue, 29 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/ChakrabartyWVH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1803-08625,
  author       = {Kuo{-}Kai Hsieh and
                  Li{-}C. Wang},
  title        = {A Concept Learning Tool Based On Calculating Version Space Cardinality},
  journal      = {CoRR},
  volume       = {abs/1803.08625},
  year         = {2018},
  url          = {http://arxiv.org/abs/1803.08625},
  eprinttype    = {arXiv},
  eprint       = {1803.08625},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1803-08625.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1807-03920,
  author       = {Matthew Nero and
                  Chuanhe Jay Shan and
                  Li{-}C. Wang and
                  Nik Sumikawa},
  title        = {Discovering Interesting Plots in Production Yield Data Analytics},
  journal      = {CoRR},
  volume       = {abs/1807.03920},
  year         = {2018},
  url          = {http://arxiv.org/abs/1807.03920},
  eprinttype    = {arXiv},
  eprint       = {1807.03920},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1807-03920.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/AbadirBCW17,
  author       = {Magdy S. Abadir and
                  Jayanta Bhadra and
                  Wen Chen and
                  Li{-}C. Wang},
  title        = {Guest Editors' Introduction: Emerging Challenges and Solutions in
                  SoC Verification},
  journal      = {{IEEE} Des. Test},
  volume       = {34},
  number       = {5},
  pages        = {5--6},
  year         = {2017},
  url          = {https://doi.org/10.1109/MDAT.2017.2729938},
  doi          = {10.1109/MDAT.2017.2729938},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/AbadirBCW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ChenRBAW17,
  author       = {Wen Chen and
                  Sandip Ray and
                  Jayanta Bhadra and
                  Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {Challenges and Trends in Modern SoC Design Verification},
  journal      = {{IEEE} Des. Test},
  volume       = {34},
  number       = {5},
  pages        = {7--22},
  year         = {2017},
  url          = {https://doi.org/10.1109/MDAT.2017.2735383},
  doi          = {10.1109/MDAT.2017.2735383},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/ChenRBAW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ChenHWB17,
  author       = {Wen Chen and
                  Kuo{-}Kai Hsieh and
                  Li{-}Chung Wang and
                  Jayanta Bhadra},
  title        = {Data-Driven Test Plan Augmentation for Platform Verification},
  journal      = {{IEEE} Des. Test},
  volume       = {34},
  number       = {5},
  pages        = {23--29},
  year         = {2017},
  url          = {https://doi.org/10.1109/MDAT.2017.2713390},
  doi          = {10.1109/MDAT.2017.2713390},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/ChenHWB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/Wang17,
  author       = {Li{-}C. Wang},
  title        = {Experience of Data Analytics in {EDA} and Test - Principles, Promises,
                  and Challenges},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {6},
  pages        = {885--898},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2621883},
  doi          = {10.1109/TCAD.2016.2621883},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/Wang17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/HsiehSWCB17,
  author       = {Kuo{-}Kai Hsieh and
                  Sebastian Siatkowski and
                  Li{-}C. Wang and
                  Wen Chen and
                  Jayanta Bhadra},
  title        = {Feature extraction from design documents to enable rule learning for
                  improving assertion coverage},
  booktitle    = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2017, Chiba, Japan, January 16-19, 2017},
  pages        = {51--56},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ASPDAC.2017.7858295},
  doi          = {10.1109/ASPDAC.2017.7858295},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/HsiehSWCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HsiehWCB17,
  author       = {Kuo{-}Kai Hsieh and
                  Li{-}C. Wang and
                  Wen Chen and
                  Jayanta Bhadra},
  title        = {Learning to Produce Direct Tests for Security Verification Using Constrained
                  Process Discovery},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {34:1--34:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062271},
  doi          = {10.1145/3061639.3062271},
  timestamp    = {Tue, 06 Nov 2018 16:58:15 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/HsiehWCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ShanBPCW17,
  author       = {Chuanhe Jay Shan and
                  Pietro Babighian and
                  Yan Pan and
                  John M. Carulli and
                  Li{-}C. Wang},
  title        = {Systematic defect detection methodology for volume diagnosis: {A}
                  data mining perspective},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX,
                  USA, October 31 - Nov. 2, 2017},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/TEST.2017.8242050},
  doi          = {10.1109/TEST.2017.8242050},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/ShanBPCW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SumikawaNW17,
  author       = {Nik Sumikawa and
                  Matt Nero and
                  Li{-}C. Wang},
  title        = {Kernel based clustering for quality improvement and excursion detection},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX,
                  USA, October 31 - Nov. 2, 2017},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/TEST.2017.8242071},
  doi          = {10.1109/TEST.2017.8242071},
  timestamp    = {Fri, 05 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SumikawaNW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangSSNSW17,
  author       = {Li{-}C. Wang and
                  Sebastian Siatkowski and
                  Chuanhe Jay Shan and
                  Matthew Nero and
                  Nikolas Sumikawa and
                  LeRoy Winemberg},
  title        = {Some considerations on choosing an outlier method for automotive product
                  lines},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX,
                  USA, October 31 - Nov. 2, 2017},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/TEST.2017.8242047},
  doi          = {10.1109/TEST.2017.8242047},
  timestamp    = {Fri, 05 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangSSNSW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/WahbaHRW17,
  author       = {Ahmed Wahba and
                  Justin Hohnerlein and
                  Farhan Rahman and
                  Li{-}C. Wang},
  title        = {Dynamic Exerciser Template Weighting in x86 Processor Verification},
  booktitle    = {18th International Workshop on Microprocessor and {SOC} Test and Verification,
                  {MTV} 2017, Austin, TX, USA, December 11-12, 2017},
  pages        = {26--31},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/MTV.2017.19},
  doi          = {10.1109/MTV.2017.19},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/WahbaHRW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SiatkowskiWSW17,
  author       = {Sebastian Siatkowski and
                  Li{-}C. Wang and
                  Nik Sumikawa and
                  LeRoy Winemberg},
  title        = {Learning the process for correlation analysis},
  booktitle    = {35th {IEEE} {VLSI} Test Symposium, {VTS} 2017, Las Vegas, NV, USA,
                  April 9-12, 2017},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/VTS.2017.7928939},
  doi          = {10.1109/VTS.2017.7928939},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SiatkowskiWSW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/PressW16,
  author       = {Ron Press and
                  Li{-}C. Wang},
  title        = {{ITC} and the Future of Test - We've Won},
  journal      = {{IEEE} Des. Test},
  volume       = {33},
  number       = {6},
  pages        = {96},
  year         = {2016},
  url          = {https://doi.org/10.1109/MDAT.2016.2612626},
  doi          = {10.1109/MDAT.2016.2612626},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/PressW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/NatarajanW16,
  author       = {Suriyaprakash Natarajan and
                  Li{-}C. Wang},
  title        = {Session 4B - Panel data analytics in semiconductor manufacturing},
  booktitle    = {34th {IEEE} {VLSI} Test Symposium, {VTS} 2016, Las Vegas, NV, USA,
                  April 25-27, 2016},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VTS.2016.7477277},
  doi          = {10.1109/VTS.2016.7477277},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/NatarajanW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SiatkowskiSWSDC16,
  author       = {Sebastian Siatkowski and
                  Chuanhe Jay Shan and
                  Li{-}C. Wang and
                  Nikolas Sumikawa and
                  W. Robert Daasch and
                  John M. Carulli},
  title        = {Consistency in wafer based outlier screening},
  booktitle    = {34th {IEEE} {VLSI} Test Symposium, {VTS} 2016, Las Vegas, NV, USA,
                  April 25-27, 2016},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VTS.2016.7477267},
  doi          = {10.1109/VTS.2016.7477267},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/SiatkowskiSWSDC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Wang15,
  author       = {Li{-}C. Wang},
  title        = {Data mining in functional test content optimization},
  booktitle    = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2015, Chiba, Japan, January 19-22, 2015},
  pages        = {308--315},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASPDAC.2015.7059023},
  doi          = {10.1109/ASPDAC.2015.7059023},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Wang15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/WangM15,
  author       = {Li{-}C. Wang and
                  Malgorzata Marek{-}Sadowska},
  editor       = {Azadeh Davoodi and
                  Evangeline F. Y. Young},
  title        = {Machine Learning in Simulation-Based Analysis},
  booktitle    = {Proceedings of the 2015 Symposium on International Symposium on Physical
                  Design, {ISPD} 2015, Monterey, CA, USA, March 29 - April 1, 2015},
  pages        = {57--64},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2717764.2717786},
  doi          = {10.1145/2717764.2717786},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/WangM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SiatkowskiCWSWD15,
  author       = {Sebastian Siatkowski and
                  Chia{-}Ling Chang and
                  Li{-}C. Wang and
                  Nikolas Sumikawa and
                  LeRoy Winemberg and
                  W. Robert Daasch},
  title        = {Generalization of an outlier model into a "global" perspective},
  booktitle    = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA,
                  USA, October 6-8, 2015},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/TEST.2015.7342396},
  doi          = {10.1109/TEST.2015.7342396},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/SiatkowskiCWSWD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangA14,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Data Mining In {EDA} - Basic Principles, Promises, and Constraints},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {159:1--159:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596675},
  doi          = {10.1145/2593069.2596675},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HsiehCWB14,
  author       = {Kuo{-}Kai Hsieh and
                  Wen Chen and
                  Li{-}C. Wang and
                  Jayanta Bhadra},
  editor       = {Yao{-}Wen Chang},
  title        = {On application of data mining in functional debug},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {670--675},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001424},
  doi          = {10.1109/ICCAD.2014.7001424},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HsiehCWB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iolts/TikkanenSWA14,
  author       = {Jeff Tikkanen and
                  Nik Sumikawa and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Multivariate outlier modeling for capturing customer returns - How
                  simple it can be},
  booktitle    = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS}
                  2014, Platja d'Aro, Girona, Spain, July 7-9, 2014},
  pages        = {164--169},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IOLTS.2014.6873663},
  doi          = {10.1109/IOLTS.2014.6873663},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/iolts/TikkanenSWA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/TikkanenSSWA14,
  author       = {Jeff Tikkanen and
                  Sebastian Siatkowski and
                  Nik Sumikawa and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Yield optimization using advanced statistical correlation methods},
  booktitle    = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA,
                  October 20-23, 2014},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/TEST.2014.7035326},
  doi          = {10.1109/TEST.2014.7035326},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/TikkanenSSWA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/Wang14a,
  author       = {Li{-}C. Wang},
  title        = {Design trends and test challenges in automotive electronics},
  booktitle    = {Technical Papers of 2014 International Symposium on {VLSI} Design,
                  Automation and Test, {VLSI-DAT} 2014, Hsinchu, Taiwan, April 28-30,
                  2014},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/VLSI-DAT.2014.6834939},
  doi          = {10.1109/VLSI-DAT.2014.6834939},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/Wang14a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/RayBAW13,
  author       = {Sandip Ray and
                  Jay Bhadra and
                  Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {Guest Editorial: Test and Verification Challenges for Future Microprocessors
                  and SoC Designs},
  journal      = {J. Electron. Test.},
  volume       = {29},
  number       = {5},
  pages        = {621--623},
  year         = {2013},
  url          = {https://doi.org/10.1007/s10836-013-5411-y},
  doi          = {10.1007/S10836-013-5411-Y},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/RayBAW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenWBA13,
  author       = {Wen Chen and
                  Li{-}C. Wang and
                  Jay Bhadra and
                  Magdy S. Abadir},
  title        = {Simulation knowledge extraction and reuse in constrained random processor
                  verification},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {120:1--120:6},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488881},
  doi          = {10.1145/2463209.2488881},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenWBA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispd/Wang13,
  author       = {Li{-}C. Wang},
  editor       = {Cheng{-}Kok Koh and
                  Cliff C. N. Sze},
  title        = {Data mining in design and test processes: basic principles and promises},
  booktitle    = {International Symposium on Physical Design, ISPD'13, Stateline, NV,
                  USA, March 24-27, 2013},
  pages        = {41--42},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2451916.2451926},
  doi          = {10.1145/2451916.2451926},
  timestamp    = {Tue, 06 Nov 2018 11:07:47 +0100},
  biburl       = {https://dblp.org/rec/conf/ispd/Wang13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SumikawaWA13,
  author       = {Nik Sumikawa and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {A pattern mining framework for inter-wafer abnormality analysis},
  booktitle    = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA,
                  USA, September 6-13, 2013},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/TEST.2013.6651890},
  doi          = {10.1109/TEST.2013.6651890},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SumikawaWA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/KamathRW13,
  author       = {Vinayak Kamath and
                  Farhan Rahman and
                  Li{-}C. Wang},
  title        = {Analyzing Efficacy of Constrained Test Program Generators - {A} Case
                  Study},
  booktitle    = {14th International Workshop on Microprocessor Test and Verification,
                  {MTV} 2013, Austin, TX, USA, December 11-13, 2013},
  pages        = {100--105},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/MTV.2013.30},
  doi          = {10.1109/MTV.2013.30},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/KamathRW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/ChenWBA13,
  author       = {Wen Chen and
                  Li{-}C. Wang and
                  Jayanta Bhadra and
                  Magdy S. Abadir},
  title        = {Novel test analysis to improve structural coverage - {A} commercial
                  experiment},
  booktitle    = {2013 International Symposium on {VLSI} Design, Automation, and Test,
                  {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/VLDI-DAT.2013.6533851},
  doi          = {10.1109/VLDI-DAT.2013.6533851},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/ChenWBA13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/RayBAWG12,
  author       = {Sandip Ray and
                  Jayanta Bhadra and
                  Magdy S. Abadir and
                  Li{-}C. Wang and
                  Aarti Gupta},
  title        = {Introduction to special section on verification challenges in the
                  concurrent world},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {17},
  number       = {3},
  pages        = {19:1--19:3},
  year         = {2012},
  url          = {https://doi.org/10.1145/2209291.2209292},
  doi          = {10.1145/2209291.2209292},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/RayBAWG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenSWBFA12,
  author       = {Wen Chen and
                  Nik Sumikawa and
                  Li{-}C. Wang and
                  Jayanta Bhadra and
                  Xiushan Feng and
                  Magdy S. Abadir},
  editor       = {Alan J. Hu},
  title        = {Novel test detection to improve simulation efficiency - {A} commercial
                  experiment},
  booktitle    = {2012 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2012, San Jose, CA, USA, November 5-8, 2012},
  pages        = {101--108},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2429384.2429404},
  doi          = {10.1145/2429384.2429404},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenSWBFA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KamathCSW12,
  author       = {Vinayak Kamath and
                  Wen Chen and
                  Nik Sumikawa and
                  Li{-}C. Wang},
  title        = {Functional test content optimization for peak-power validation - An
                  experimental study},
  booktitle    = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA,
                  USA, November 5-8, 2012},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/TEST.2012.6401586},
  doi          = {10.1109/TEST.2012.6401586},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KamathCSW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SumikawaTWWA12,
  author       = {Nik Sumikawa and
                  Jeff Tikkanen and
                  Li{-}C. Wang and
                  LeRoy Winemberg and
                  Magdy S. Abadir},
  title        = {Screening customer returns with multivariate test analysis},
  booktitle    = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA,
                  USA, November 5-8, 2012},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/TEST.2012.6401547},
  doi          = {10.1109/TEST.2012.6401547},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SumikawaTWWA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SumikawaWA12,
  author       = {Nik Sumikawa and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {An experiment of burn-in time reduction based on parametric test analysis},
  booktitle    = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA,
                  USA, November 5-8, 2012},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/TEST.2012.6401595},
  doi          = {10.1109/TEST.2012.6401595},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SumikawaWA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/AbadirSCW12,
  author       = {Magdy S. Abadir and
                  Nik Sumikawa and
                  Wen Chen and
                  Li{-}C. Wang},
  title        = {Data mining based prediction paradigm and its applications in design
                  automation},
  booktitle    = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation
                  and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSI-DAT.2012.6212643},
  doi          = {10.1109/VLSI-DAT.2012.6212643},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/AbadirSCW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/WuW12,
  author       = {An{-}Yeu Wu and
                  Li{-}C. Wang},
  title        = {Foreword},
  booktitle    = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation
                  and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSI-DAT.2012.6212577},
  doi          = {10.1109/VLSI-DAT.2012.6212577},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/WuW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DrmanacSWWA11,
  author       = {Dragoljub Gagi Drmanac and
                  Nik Sumikawa and
                  LeRoy Winemberg and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Multidimensional parametric test set optimization of wafer probe data
                  for predicting in field failures and setting tighter test limits},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {794--799},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763135},
  doi          = {10.1109/DATE.2011.5763135},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/DrmanacSWWA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/SumikawaDWWA11,
  author       = {Nik Sumikawa and
                  Dragoljub Gagi Drmanac and
                  Li{-}C. Wang and
                  LeRoy Winemberg and
                  Magdy S. Abadir},
  editor       = {Bill Eklow and
                  R. D. (Shawn) Blanton},
  title        = {Forward prediction based on wafer sort data - {A} case study},
  booktitle    = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA,
                  USA, September 20-22, 2011},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/TEST.2011.6139174},
  doi          = {10.1109/TEST.2011.6139174},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/SumikawaDWWA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/SumikawaDWWA11,
  author       = {Nik Sumikawa and
                  Dragoljub Gagi Drmanac and
                  Li{-}C. Wang and
                  LeRoy Winemberg and
                  Magdy S. Abadir},
  title        = {Understanding customer returns from a test perspective},
  booktitle    = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana
                  Point, California, {USA}},
  pages        = {2--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/VTS.2011.5783746},
  doi          = {10.1109/VTS.2011.5783746},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/SumikawaDWWA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mtv/2011,
  editor       = {Magdy S. Abadir and
                  Jay Bhadra and
                  Li{-}C. Wang},
  title        = {12th International Workshop on Microprocessor Test and Verification,
                  {MTV} 2011, Austin, TX, USA, December 5-7, 2011},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6142154/proceeding},
  isbn         = {978-1-4577-2101-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mtv/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BastaniCWA10,
  author       = {Pouria Bastani and
                  Nicholas Callegari and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Feature-Ranking Methodology to Diagnose Design-Silicon Timing Mismatch},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {3},
  pages        = {42--53},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2009.95},
  doi          = {10.1109/MDT.2009.95},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BastaniCWA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GuzeyWLF10,
  author       = {Onur Guzey and
                  Li{-}C. Wang and
                  Jeremy R. Levitt and
                  Harry Foster},
  title        = {Increasing the Efficiency of Simulation-Based Functional Verification
                  Through Unsupervised Support Vector Analysis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {1},
  pages        = {138--148},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2009.2034347},
  doi          = {10.1109/TCAD.2009.2034347},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GuzeyWLF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Wang10,
  author       = {Li{-}C. Wang},
  title        = {Data learning based diagnosis},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {247--254},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419888},
  doi          = {10.1109/ASPDAC.2010.5419888},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Wang10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChenZWM10,
  author       = {Janine Chen and
                  Jing Zeng and
                  Li{-}C. Wang and
                  Michael Mateja},
  title        = {Correlating system test Fmax with structural test Fmax and process
                  monitoring measurements},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {419--424},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419846},
  doi          = {10.1109/ASPDAC.2010.5419846},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChenZWM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChangW10,
  author       = {Po{-}Hsien Chang and
                  Li{-}C. Wang},
  title        = {Automatic assertion extraction via sequential data mining of simulation
                  traces},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {607--612},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419813},
  doi          = {10.1109/ASPDAC.2010.5419813},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChangW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CallegariDWA10,
  author       = {Nicholas Callegari and
                  Dragoljub Gagi Drmanac and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  editor       = {Sachin S. Sapatnekar},
  title        = {Classification rule learning using subgroup discovery of cross-domain
                  attributes responsible for design-silicon mismatch},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {374--379},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837368},
  doi          = {10.1145/1837274.1837368},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CallegariDWA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChangDW10,
  author       = {Po{-}Hsien Chang and
                  Dragoljub Gagi Drmanac and
                  Li{-}C. Wang},
  editor       = {Louis Scheffer and
                  Joel R. Phillips and
                  Alan J. Hu},
  title        = {Online selection of effective functional test programs based on novelty
                  detection},
  booktitle    = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010,
                  San Jose, CA, USA, November 7-11, 2010},
  pages        = {762--769},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICCAD.2010.5653868},
  doi          = {10.1109/ICCAD.2010.5653868},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChangDW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/LiMMW10,
  author       = {Hui Li and
                  Makram Mansour and
                  Sury Maturi and
                  Li{-}C. Wang},
  title        = {A new sampling method for analog behavioral modeling},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {2908--2911},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5538043},
  doi          = {10.1109/ISCAS.2010.5538043},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/LiMMW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DrmanacBW10,
  author       = {Dragoljub Gagi Drmanac and
                  Brendon Bolin and
                  Li{-}C. Wang},
  title        = {A non-parametric approach to behavioral device modeling},
  booktitle    = {11th International Symposium on Quality of Electronic Design {(ISQED}
                  2010), 22-24 March 2010, San Jose, CA, {USA}},
  pages        = {284--290},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISQED.2010.5450433},
  doi          = {10.1109/ISQED.2010.5450433},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DrmanacBW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZengWCMW10,
  author       = {Jing Zeng and
                  Jing Wang and
                  Chia{-}Ying Chen and
                  Michael Mateja and
                  Li{-}C. Wang},
  title        = {On evaluating speed path detection of structural tests},
  booktitle    = {11th International Symposium on Quality of Electronic Design {(ISQED}
                  2010), 22-24 March 2010, San Jose, CA, {USA}},
  pages        = {570--576},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISQED.2010.5450521},
  doi          = {10.1109/ISQED.2010.5450521},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ZengWCMW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiMMW10,
  author       = {Hui Li and
                  Makram Mansour and
                  Sury Maturi and
                  Li{-}C. Wang},
  title        = {Analog behavioral modeling flow using statistical learning method},
  booktitle    = {11th International Symposium on Quality of Electronic Design {(ISQED}
                  2010), 22-24 March 2010, San Jose, CA, {USA}},
  pages        = {872--878},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISQED.2010.5450479},
  doi          = {10.1109/ISQED.2010.5450479},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LiMMW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChangWB10,
  author       = {Po{-}Hsien Chang and
                  Li{-}C. Wang and
                  Jayanta Bhadra},
  editor       = {Ron Press and
                  Erik H. Volkerink},
  title        = {A kernel-based approach for functional test program generation},
  booktitle    = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX,
                  USA, November 2-4, 2010},
  pages        = {164--173},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/TEST.2010.5699216},
  doi          = {10.1109/TEST.2010.5699216},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ChangWB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChenBWZDM10,
  author       = {Janine Chen and
                  Brendon Bolin and
                  Li{-}C. Wang and
                  Jing Zeng and
                  Dragoljub Gagi Drmanac and
                  Michael Mateja},
  editor       = {Ron Press and
                  Erik H. Volkerink},
  title        = {Mining {AC} delay measurements for understanding speed-limiting paths},
  booktitle    = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX,
                  USA, November 2-4, 2010},
  pages        = {553--562},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/TEST.2010.5699258},
  doi          = {10.1109/TEST.2010.5699258},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ChenBWZDM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WuCW10,
  author       = {Sean H. Wu and
                  Sreejit Chakravarty and
                  Li{-}C. Wang},
  title        = {Impact of multiple input switching on delay test under process variation},
  booktitle    = {28th {IEEE} {VLSI} Test Symposium, {VTS} 2010, April 19-22, 2010,
                  Santa Cruz, California, {USA}},
  pages        = {87--92},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/VTS.2010.5469606},
  doi          = {10.1109/VTS.2010.5469606},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/WuCW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ChenZWRM10,
  author       = {Janine Chen and
                  Jing Zeng and
                  Li{-}C. Wang and
                  Jeff Rearick and
                  Michael Mateja},
  title        = {Selecting the most relevant structural Fmax for system Fmax correlation},
  booktitle    = {28th {IEEE} {VLSI} Test Symposium, {VTS} 2010, April 19-22, 2010,
                  Santa Cruz, California, {USA}},
  pages        = {99--104},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/VTS.2010.5469604},
  doi          = {10.1109/VTS.2010.5469604},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vts/ChenZWRM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mtv/2010,
  editor       = {Magdy S. Abadir and
                  Jay Bhadra and
                  Li{-}C. Wang},
  title        = {11th International Workshop on Microprocessor Test and Verification,
                  {MTV} 2010, Austin, TX, USA, December 13-15, 2010},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/5975323/proceeding},
  isbn         = {978-0-7695-4354-3},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mtv/2010.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CallegariBWA09,
  author       = {Nicholas Callegari and
                  Pouria Bastani and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {A Statistical Diagnosis Approach for Analyzing Design-Silicon Timing
                  Mismatch},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {11},
  pages        = {1728--1741},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2030394},
  doi          = {10.1109/TCAD.2009.2030394},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/CallegariBWA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CallegariBWCT09,
  author       = {Nicholas Callegari and
                  Pouria Bastani and
                  Li{-}C. Wang and
                  Sreejit Chakravarty and
                  Alexander Tetelbaum},
  editor       = {Kazutoshi Wakabayashi},
  title        = {Path selection for monitoring unexpected systematic timing effects},
  booktitle    = {Proceedings of the 14th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009},
  pages        = {781--786},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASPDAC.2009.4796575},
  doi          = {10.1109/ASPDAC.2009.4796575},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/CallegariBWCT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CallegariWB09,
  author       = {Nicholas Callegari and
                  Li{-}C. Wang and
                  Pouria Bastani},
  title        = {Speedpath analysis based on hypothesis pruning and ranking},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {346--351},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630005},
  doi          = {10.1145/1629911.1630005},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CallegariWB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DrmanacLW09,
  author       = {Dragoljub Gagi Drmanac and
                  Frank Liu and
                  Li{-}C. Wang},
  title        = {Predicting variability in nanoscale lithography processes},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {545--550},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630053},
  doi          = {10.1145/1629911.1630053},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DrmanacLW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/Wang09,
  author       = {Li{-}C. Wang},
  editor       = {Dimitris Gizopoulos and
                  Susumu Horiguchi and
                  Spyros Tragoudas and
                  Mohammad Tehranipoor},
  title        = {Data Learning Techniques for Functional/System Fmax Prediction},
  booktitle    = {24th {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} Systems, {DFT} 2009, Chicago, Illinois, USA, October 7-9,
                  2009},
  pages        = {451--451},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/DFT.2009.61},
  doi          = {10.1109/DFT.2009.61},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/Wang09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/CallegariWB09,
  author       = {Nicholas Callegari and
                  Li{-}C. Wang and
                  Pouria Bastani},
  editor       = {Gordon W. Roberts and
                  Bill Eklow},
  title        = {Feature based similarity search with application to speedpath analysis},
  booktitle    = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX,
                  USA, November 1-6, 2009},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/TEST.2009.5355708},
  doi          = {10.1109/TEST.2009.5355708},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/CallegariWB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ChenWCZYM09,
  author       = {Janine Chen and
                  Li{-}C. Wang and
                  Po{-}Hsien Chang and
                  Jing Zeng and
                  S. Yu and
                  Michael Mateja},
  editor       = {Gordon W. Roberts and
                  Bill Eklow},
  title        = {Data learning techniques and methodology for Fmax prediction},
  booktitle    = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX,
                  USA, November 1-6, 2009},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/TEST.2009.5355620},
  doi          = {10.1109/TEST.2009.5355620},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ChenWCZYM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DrmanacBWA09,
  author       = {Dragoljub Gagi Drmanac and
                  Brendon Bolin and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  editor       = {Gordon W. Roberts and
                  Bill Eklow},
  title        = {Minimizing outlier delay test cost in the presence of systematic variability},
  booktitle    = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX,
                  USA, November 1-6, 2009},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/TEST.2009.5355643},
  doi          = {10.1109/TEST.2009.5355643},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DrmanacBWA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BastaniWA08,
  author       = {Pouria Bastani and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Linking Statistical Learning to Diagnosis},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {25},
  number       = {3},
  pages        = {232--239},
  year         = {2008},
  url          = {https://doi.org/10.1109/MDT.2008.79},
  doi          = {10.1109/MDT.2008.79},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BastaniWA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/OngHCW08,
  author       = {Chee{-}Kian Ong and
                  Dongwoo Hong and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  title        = {A Clock-Less Jitter Spectral Analysis Technique},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {55-I},
  number       = {8},
  pages        = {2263--2272},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCSI.2008.918235},
  doi          = {10.1109/TCSI.2008.918235},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/OngHCW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/WuCTW08,
  author       = {Sean H. Wu and
                  Sreejit Chakravarty and
                  Alexander Tetelbaum and
                  Li{-}C. Wang},
  title        = {Refining Delay Test Methodology Using Knowledge of Asymmetric Transition
                  Delay},
  booktitle    = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November
                  24-27, 2008},
  pages        = {142--144},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ATS.2008.76},
  doi          = {10.1109/ATS.2008.76},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/WuCTW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BastaniKWC08,
  author       = {Pouria Bastani and
                  Kip Killpack and
                  Li{-}C. Wang and
                  Eli Chiprout},
  editor       = {Limor Fix},
  title        = {Speedpath prediction based on learning from a small set of examples},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {217--222},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391524},
  doi          = {10.1145/1391469.1391524},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BastaniKWC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuzeyWLF08,
  author       = {Onur Guzey and
                  Li{-}C. Wang and
                  Jeremy R. Levitt and
                  Harry Foster},
  editor       = {Limor Fix},
  title        = {Functional test selection based on unsupervised support vector analysis},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {262--267},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391536},
  doi          = {10.1145/1391469.1391536},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GuzeyWLF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BastaniCWA08,
  author       = {Pouria Bastani and
                  Nicholas Callegari and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  editor       = {Limor Fix},
  title        = {Statistical diagnosis of unmodeled systematic timing effects},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {355--360},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391566},
  doi          = {10.1145/1391469.1391566},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BastaniCWA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BastaniCWA08,
  author       = {Pouria Bastani and
                  Nicholas Callegari and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  editor       = {Douglas Young and
                  Nur A. Touba},
  title        = {Diagnosis of design-silicon timing mismatch with feature encoding
                  and importance ranking - the methodology explained},
  booktitle    = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara,
                  California, USA, October 26-31, 2008},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/TEST.2008.4700588},
  doi          = {10.1109/TEST.2008.4700588},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BastaniCWA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WuDW08,
  author       = {Sean H. Wu and
                  Dragoljub Gagi Drmanac and
                  Li{-}C. Wang},
  editor       = {Douglas Young and
                  Nur A. Touba},
  title        = {A Study of Outlier Analysis Techniques for Delay Testing},
  booktitle    = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara,
                  California, USA, October 26-31, 2008},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/TEST.2008.4700548},
  doi          = {10.1109/TEST.2008.4700548},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WuDW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BhadraAW07,
  author       = {Jayanta Bhadra and
                  Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {Guest Editors' Introduction: Attacking Functional Verification through
                  Hybrid Techniques},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {24},
  number       = {2},
  pages        = {110--111},
  year         = {2007},
  url          = {https://doi.org/10.1109/MDT.2007.45},
  doi          = {10.1109/MDT.2007.45},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BhadraAW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/BhadraAWR07,
  author       = {Jayanta Bhadra and
                  Magdy S. Abadir and
                  Li{-}C. Wang and
                  Sandip Ray},
  title        = {A Survey of Hybrid Techniques for Functional Verification},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {24},
  number       = {2},
  pages        = {112--122},
  year         = {2007},
  url          = {https://doi.org/10.1109/MDT.2007.30},
  doi          = {10.1109/MDT.2007.30},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/BhadraAWR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangBA07,
  author       = {Li{-}C. Wang and
                  Pouria Bastani and
                  Magdy S. Abadir},
  title        = {Design-Silicon Timing Correlation {A} Data Mining Perspective},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {384--389},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278580},
  doi          = {10.1145/1278480.1278580},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangBA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/GuzeyW07,
  author       = {Onur Guzey and
                  Li{-}C. Wang},
  title        = {Coverage-directed test generation through automatic constraint extraction},
  booktitle    = {{IEEE} International High Level Design Validation and Test Workshop,
                  {HLDVT} 2007, Irvine, CA, USA, November 7-9, 2007},
  pages        = {151--158},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/HLDVT.2007.4392805},
  doi          = {10.1109/HLDVT.2007.4392805},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/GuzeyW07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WenWB07,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Jayanta Bhadra},
  editor       = {Georges G. E. Gielen},
  title        = {An incremental learning framework for estimating signal controllability
                  in unit-level verification},
  booktitle    = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007,
                  San Jose, CA, USA, November 5-8, 2007},
  pages        = {250--257},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCAD.2007.4397274},
  doi          = {10.1109/ICCAD.2007.4397274},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WenWB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/BastaniLWSA07,
  author       = {Pouria Bastani and
                  Benjamin N. Lee and
                  Li{-}C. Wang and
                  Savithri Sundareswaran and
                  Magdy S. Abadir},
  editor       = {Jill Sibert and
                  Janusz Rajski},
  title        = {Analyzing the risk of timing modeling based on path delay tests},
  booktitle    = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara,
                  California, USA, October 21-26, 2007},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/TEST.2007.4437587},
  doi          = {10.1109/TEST.2007.4437587},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/BastaniLWSA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/GuzeyWB07,
  author       = {Onur Guzey and
                  Li{-}C. Wang and
                  Jayanta Bhadra},
  editor       = {Jill Sibert and
                  Janusz Rajski},
  title        = {Enhancing signal controllability in functional test-benches through
                  automatic constraint extraction},
  booktitle    = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara,
                  California, USA, October 21-26, 2007},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/TEST.2007.4437615},
  doi          = {10.1109/TEST.2007.4437615},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/GuzeyWB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WuLWA07,
  author       = {Sean Hsi Yuan Wu and
                  Benjamin N. Lee and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  editor       = {Jill Sibert and
                  Janusz Rajski},
  title        = {Statistical analysis and optimization of parametric delay test},
  booktitle    = {2007 {IEEE} International Test Conference, {ITC} 2007, Santa Clara,
                  California, USA, October 21-26, 2007},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/TEST.2007.4437626},
  doi          = {10.1109/TEST.2007.4437626},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WuLWA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mtv/2007,
  editor       = {Magdy S. Abadir and
                  Li{-}C. Wang and
                  Jayanta Bhadra},
  title        = {Eighth International Workshop on Microprocessor Test and Verification
                  {(MTV} 2007), Common Challenges and Solutions, 5-6 December 2007,
                  Austin, Texas, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4620135/proceeding},
  isbn         = {978-0-7695-3241-7},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mtv/2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/WenWC06,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng},
  title        = {Simulation-Based Functional Test Generation for Embedded Processors},
  journal      = {{IEEE} Trans. Computers},
  volume       = {55},
  number       = {11},
  pages        = {1335--1343},
  year         = {2006},
  url          = {https://doi.org/10.1109/TC.2006.186},
  doi          = {10.1109/TC.2006.186},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/WenWC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LeeWA06,
  author       = {Benjamin N. Lee and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  editor       = {Ellen Sentovich},
  title        = {Refined statistical static timing analysis through},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {149--154},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1146952},
  doi          = {10.1145/1146909.1146952},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LeeWA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/GuzeyWWFA06,
  author       = {Onur Guzey and
                  Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Tao Feng and
                  Magdy S. Abadir},
  title        = {Extracting a simplified view of design functionality via vector simulation},
  booktitle    = {Eleventh Annual {IEEE} International High-Level Design Validation
                  and Test Workshop 2006, Monterey, CA, USA, Nov 9-10, 2006},
  pages        = {195--202},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/HLDVT.2006.319991},
  doi          = {10.1109/HLDVT.2006.319991},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/GuzeyWWFA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hvc/GuzeyWWFMA06,
  author       = {Onur Guzey and
                  Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Tao Feng and
                  Hillel Miller and
                  Magdy S. Abadir},
  editor       = {Eyal Bin and
                  Avi Ziv and
                  Shmuel Ur},
  title        = {Extracting a Simplified View of Design Functionality Based on Vector
                  Simulation},
  booktitle    = {Hardware and Software, Verification and Testing, Second International
                  Haifa Verification Conference, {HVC} 2006, Haifa, Israel, October
                  23-26, 2006. Revised Selected Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {4383},
  pages        = {34--49},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/978-3-540-70889-6\_3},
  doi          = {10.1007/978-3-540-70889-6\_3},
  timestamp    = {Tue, 15 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hvc/GuzeyWWFMA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeeW06,
  author       = {Leonard Lee and
                  Li{-}C. Wang},
  editor       = {Soha Hassoun},
  title        = {On bounding the delay of a critical path},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {81--88},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233520},
  doi          = {10.1145/1233501.1233520},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LeeW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WenGW06,
  author       = {Charles H.{-}P. Wen and
                  Onur Guzey and
                  Li{-}C. Wang},
  title        = {Simulation-based functional test justification using a decision-digram-based
                  Boolean data miner},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {300--307},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380832},
  doi          = {10.1109/ICCD.2006.4380832},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WenGW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LeeW06,
  author       = {Leonard Lee and
                  Li{-}C. Wang},
  editor       = {Scott Davidson and
                  Anne Gattiker},
  title        = {An Efficient Pruning Method to Guide the Search of Precision Tests
                  in Statistical Timing Space},
  booktitle    = {2006 {IEEE} International Test Conference, {ITC} 2006, Santa Clara,
                  CA, USA, October 22-27, 2006},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/TEST.2006.297684},
  doi          = {10.1109/TEST.2006.297684},
  timestamp    = {Tue, 12 Dec 2023 09:46:27 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LeeW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LeeWA06,
  author       = {Benjamin N. Lee and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  editor       = {Scott Davidson and
                  Anne Gattiker},
  title        = {Issues on Test Optimization with Known Good Dies and Known Defective
                  Dies - {A} Statistical Perspective},
  booktitle    = {2006 {IEEE} International Test Conference, {ITC} 2006, Santa Clara,
                  CA, USA, October 22-27, 2006},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/TEST.2006.297640},
  doi          = {10.1109/TEST.2006.297640},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/itc/LeeWA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mtv/2006,
  editor       = {Magdy S. Abadir and
                  Li{-}C. Wang and
                  Jayanta Bhadra},
  title        = {Seventh International Workshop on Microprocessor Test and Verification
                  {(MTV} 2006), Common Challenges and Solutions, 4-5 December 2006,
                  Austin, Texas, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4197205/proceeding},
  isbn         = {978-0-7695-2839-7},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mtv/2006.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/FengWCL05,
  author       = {Tao Feng and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Chih{-}Chan Lin},
  title        = {Using 2-domain partitioned {OBDD} data structure in an enhanced symbolic
                  simulator},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {10},
  number       = {4},
  pages        = {627--650},
  year         = {2005},
  url          = {https://doi.org/10.1145/1109118.1109122},
  doi          = {10.1145/1109118.1109122},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/FengWCL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LuIPWCC05,
  author       = {Feng Lu and
                  Madhu K. Iyer and
                  Ganapathy Parthasarathy and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Kuang{-}Chien Chen},
  title        = {An Efficient Sequential {SAT} Solver With Improved Search Strategies},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {1102--1107},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.55},
  doi          = {10.1109/DATE.2005.55},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LuIPWCC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/LeeWWW05,
  author       = {Leonard Lee and
                  Sean H. Wu and
                  Charles H.{-}P. Wen and
                  Li{-}C. Wang},
  title        = {On Generating Tests to Cover Diverse Worst-Case Timing Corners},
  booktitle    = {20th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2005), 3-5 October 2005, Monterey, CA, {USA}},
  pages        = {415--426},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DFTVS.2005.50},
  doi          = {10.1109/DFTVS.2005.50},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/LeeWWW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/WenWC05,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng},
  title        = {Simulation-based functional test generation for embedded processors},
  booktitle    = {Tenth {IEEE} International High-Level Design Validation and Test Workshop
                  2005, Napa Valley, CA, USA, November 30 - December 2, 2005},
  pages        = {3--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/HLDVT.2005.1568806},
  doi          = {10.1109/HLDVT.2005.1568806},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/WenWC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LeeLWA05,
  author       = {Benjamin N. Lee and
                  Hui Li and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Hazard-aware statistical timing simulation and its applications in
                  screening frequency-dependent defects},
  booktitle    = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005,
                  Austin, TX, USA, November 8-10, 2005},
  pages        = {10},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/TEST.2005.1583965},
  doi          = {10.1109/TEST.2005.1583965},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LeeLWA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WenWCLC05,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Wei{-}Ting Liu and
                  Ji{-}Jan Chen},
  title        = {Simulation-based target test generation techniques for improving the
                  robustness of a software-based-self-test methodology},
  booktitle    = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005,
                  Austin, TX, USA, November 8-10, 2005},
  pages        = {10},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/TEST.2005.1584059},
  doi          = {10.1109/TEST.2005.1584059},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WenWCLC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/WenW05,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang},
  editor       = {Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {Simulation Data Mining for Functional Test Pattern Justification},
  booktitle    = {Sixth International Workshop on Microprocessor Test and Verification
                  {(MTV} 2005), Common Challenges and Solutions, 3-4 November 2005,
                  Austin, Texas, {USA}},
  pages        = {76--83},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/MTV.2005.24},
  doi          = {10.1109/MTV.2005.24},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/WenW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LeeWPM05,
  author       = {Leonard Lee and
                  Li{-}C. Wang and
                  Praveen Parvathala and
                  T. M. Mak},
  title        = {On Silicon-Based Speed Path Identification},
  booktitle    = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm
                  Springs, CA, {USA}},
  pages        = {35--41},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/VTS.2005.61},
  doi          = {10.1109/VTS.2005.61},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LeeWPM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WenWCYLC05,
  author       = {Charles H.{-}P. Wen and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Kai Yang and
                  Wei{-}Ting Liu and
                  Ji{-}Jan Chen},
  title        = {On {A} Software-Based Self-Test Methodology and Its Application},
  booktitle    = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm
                  Springs, CA, {USA}},
  pages        = {107--113},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/VTS.2005.59},
  doi          = {10.1109/VTS.2005.59},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/WenWCYLC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/LeeWA05,
  author       = {Benjamin N. Lee and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Reducing Pattern Delay Variations for Screening Frequency Dependent
                  Defects},
  booktitle    = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm
                  Springs, CA, {USA}},
  pages        = {153--160},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/VTS.2005.70},
  doi          = {10.1109/VTS.2005.70},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/LeeWA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mtv/2005,
  editor       = {Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {Sixth International Workshop on Microprocessor Test and Verification
                  {(MTV} 2005), Common Challenges and Solutions, 3-4 November 2005,
                  Austin, Texas, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4022212/proceeding},
  isbn         = {0-7695-2627-6},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mtv/2005.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/AbadirW04,
  author       = {Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {Guest Editors' Introduction: The Verification and Test of Complex
                  Digital ICs},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {21},
  number       = {2},
  pages        = {80--82},
  year         = {2004},
  url          = {https://doi.org/10.1109/MDT.2004.1277899},
  doi          = {10.1109/MDT.2004.1277899},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/AbadirW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ParthasarathyICW04,
  author       = {Ganapathy Parthasarathy and
                  Madhu K. Iyer and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  title        = {Safety Property Verification Using Sequential {SAT} and Bounded Model
                  Checking},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {21},
  number       = {2},
  pages        = {132--143},
  year         = {2004},
  url          = {https://doi.org/10.1109/MDT.2004.1277906},
  doi          = {10.1109/MDT.2004.1277906},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/ParthasarathyICW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MakKCW04,
  author       = {T. M. Mak and
                  Angela Krstic and
                  Kwang{-}Ting (Tim) Cheng and
                  Li{-}C. Wang},
  title        = {New Challenges in Delay Testing of Nanometer, Multigigahertz Designs},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {21},
  number       = {3},
  pages        = {241--247},
  year         = {2004},
  url          = {https://doi.org/10.1109/MDT.2004.17},
  doi          = {10.1109/MDT.2004.17},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MakKCW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jucs/LuWCMH04,
  author       = {Feng Lu and
                  Li{-}C. Wang and
                  Kwang{-}Ting (Tim) Cheng and
                  John Moondanos and
                  Ziyad Hanna},
  title        = {A Signal Correlation Guided Circuit-SAT Solver},
  journal      = {J. Univers. Comput. Sci.},
  volume       = {10},
  number       = {12},
  pages        = {1629--1654},
  year         = {2004},
  url          = {https://doi.org/10.3217/jucs-010-12-1629},
  doi          = {10.3217/JUCS-010-12-1629},
  timestamp    = {Thu, 07 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jucs/LuWCMH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SzeWW04,
  author       = {Cliff C. N. Sze and
                  Ting{-}Chi Wang and
                  Li{-}C. Wang},
  title        = {Multilevel circuit clustering for delay minimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {23},
  number       = {7},
  pages        = {1073--1085},
  year         = {2004},
  url          = {https://doi.org/10.1109/TCAD.2004.829817},
  doi          = {10.1109/TCAD.2004.829817},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/SzeWW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangLC04,
  author       = {Li{-}C. Wang and
                  Jing{-}Jia Liou and
                  Kwang{-}Ting Cheng},
  title        = {Critical path selection for delay fault testing based upon a statistical
                  timing model},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {23},
  number       = {11},
  pages        = {1550--1565},
  year         = {2004},
  url          = {https://doi.org/10.1109/TCAD.2004.835137},
  doi          = {10.1109/TCAD.2004.835137},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/WangLC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/OngCW04,
  author       = {Chee{-}Kian Ong and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  title        = {A new sigma-delta modulator architecture for testing using digital
                  stimulus},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {51-I},
  number       = {1},
  pages        = {206--213},
  year         = {2004},
  url          = {https://doi.org/10.1109/TCSI.2003.821305},
  doi          = {10.1109/TCSI.2003.821305},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcas/OngCW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YangCW04,
  author       = {Kai Yang and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  editor       = {Masaharu Imai},
  title        = {TranGen: a SAT-based {ATPG} for path-oriented transition faults},
  booktitle    = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation:
                  Electronic Design and Solution Fair 2004, Yokohama, Japan, January
                  27-30, 2004},
  pages        = {92--97},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.202},
  doi          = {10.1109/ASPDAC.2004.202},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YangCW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OngHCW04,
  author       = {Chee{-}Kian Ong and
                  Dongwoo Hong and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  editor       = {Masaharu Imai},
  title        = {Jitter spectral extraction for multi-gigahertz signal},
  booktitle    = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation:
                  Electronic Design and Solution Fair 2004, Yokohama, Japan, January
                  27-30, 2004},
  pages        = {298--303},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.122},
  doi          = {10.1109/ASPDAC.2004.122},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/OngHCW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ParthasarathyICW04,
  author       = {Ganapathy Parthasarathy and
                  Madhu K. Iyer and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  editor       = {Masaharu Imai},
  title        = {Efficient reachability checking using sequential {SAT}},
  booktitle    = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation:
                  Electronic Design and Solution Fair 2004, Yokohama, Japan, January
                  27-30, 2004},
  pages        = {418--423},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.89},
  doi          = {10.1109/ASPDAC.2004.89},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ParthasarathyICW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FengWC04,
  author       = {Tao Feng and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng},
  editor       = {Masaharu Imai},
  title        = {Improved symbolic simulation by functional-space decomposition},
  booktitle    = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation:
                  Electronic Design and Solution Fair 2004, Yokohama, Japan, January
                  27-30, 2004},
  pages        = {634--639},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.114},
  doi          = {10.1109/ASPDAC.2004.114},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/FengWC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ParthasarathyICW04,
  author       = {Ganapathy Parthasarathy and
                  Madhu K. Iyer and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {An efficient finite-domain constraint solver for circuits},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {212--217},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996628},
  doi          = {10.1145/996566.996628},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ParthasarathyICW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangMCA04,
  author       = {Li{-}C. Wang and
                  T. M. Mak and
                  Kwang{-}Ting Cheng and
                  Magdy S. Abadir},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {On path-based learning and its applications in delay test and diagnosis},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {492--497},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996704},
  doi          = {10.1145/996566.996704},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangMCA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/FengWCL04,
  author       = {Tao Feng and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Chih{-}Chan Lin},
  title        = {Improved Symoblic Simulation by Dynamic Funtional Space Partitioning},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {42--49},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268825},
  doi          = {10.1109/DATE.2004.1268825},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/FengWCL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MangoCWC04,
  author       = {Mango Chia{-}Tso Chao and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng},
  title        = {Pattern Selection for Testing of Deep Sub-Micron Timing Defects},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {160},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1269033},
  doi          = {10.1109/DATE.2004.1269033},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MangoCWC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/OngHCW04,
  author       = {Chee{-}Kian Ong and
                  Dongwoo Hong and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  title        = {Random Jitter Extraction Technique in a Multi-Gigahertz Signal},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {286--291},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268862},
  doi          = {10.1109/DATE.2004.1268862},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/OngHCW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/Wang04,
  author       = {Li{-}C. Wang},
  title        = {Regression Simulation: Applying Path-Based Learning In Delay Test
                  and Post-Silicon Validation},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {692--695},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268934},
  doi          = {10.1109/DATE.2004.1268934},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/Wang04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/FengWCL04,
  author       = {Tao Feng and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Andy Lin},
  title        = {On using a 2-domain partitioned {OBDD} data structure in verification},
  booktitle    = {Ninth {IEEE} International High-Level Design Validation and Test Workshop
                  2004, Sonoma Valley, CA, USA, November 10-12, 2004},
  pages        = {49--54},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/HLDVT.2004.1431234},
  doi          = {10.1109/HLDVT.2004.1431234},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/FengWCL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RutenbarWCK04,
  author       = {Rob A. Rutenbar and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Sandip Kundu},
  title        = {Static statistical timing analysis for latch-based pipeline designs},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {468--472},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382622},
  doi          = {10.1109/ICCAD.2004.1382622},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/RutenbarWCK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeeWMC04,
  author       = {Leonard Lee and
                  Li{-}C. Wang and
                  T. M. Mak and
                  Kwang{-}Ting Cheng},
  title        = {A path-based methodology for post-silicon timing validation},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {713--720},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382669},
  doi          = {10.1109/ICCAD.2004.1382669},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LeeWMC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ZengAKVWA04,
  author       = {Jing Zeng and
                  Magdy S. Abadir and
                  A. Kolhatkar and
                  G. Vandling and
                  Li{-}C. Wang and
                  Jacob A. Abraham},
  title        = {On Correlating Structural Tests with Functional Tests for Speed Binning
                  of High Performance Design},
  booktitle    = {Proceedings 2004 International Test Conference {(ITC} 2004), October
                  26-28, 2004, Charlotte, NC, {USA}},
  pages        = {31--37},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/TEST.2004.1386934},
  doi          = {10.1109/TEST.2004.1386934},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ZengAKVWA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/ZengAVWKA04,
  author       = {Jing Zeng and
                  Magdy S. Abadir and
                  G. Vandling and
                  Li{-}C. Wang and
                  S. Karako and
                  Jacob A. Abraham},
  title        = {On Correlating Structural Tests with Functional Tests for Speed Binning
                  of High Performance Design},
  booktitle    = {Fifth International Workshop on Microprocessor Test and Verification
                  {(MTV} 2004), Common Challenges and Solutions, 08-10 September 2004,
                  Austin, Texas, {USA}},
  pages        = {103--109},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/MTV.2004.17},
  doi          = {10.1109/MTV.2004.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/ZengAVWKA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/OngHCW04,
  author       = {Chee{-}Kian Ong and
                  Dongwoo Hong and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  title        = {A Scalable On-Chip Jitter Extraction Technique},
  booktitle    = {22nd {IEEE} {VLSI} Test Symposium {(VTS} 2004), 25-29 April 2004,
                  Napa Valley, CA, {USA}},
  pages        = {267--272},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/VTEST.2004.1299253},
  doi          = {10.1109/VTEST.2004.1299253},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/OngHCW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/WangFCAP03,
  author       = {Li{-}C. Wang and
                  Tao Feng and
                  Kwang{-}Ting (Tim) Cheng and
                  Magdy S. Abadir and
                  Manish Pandey},
  title        = {Enhanced Symbolic Simulation for Functional Verification of Embedded
                  Array Systems},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {8},
  number       = {2-3},
  pages        = {173--188},
  year         = {2003},
  url          = {https://doi.org/10.1023/B:DAEM.0000003961.86651.2b},
  doi          = {10.1023/B:DAEM.0000003961.86651.2B},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dafes/WangFCAP03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ButlerCW03,
  author       = {Kenneth M. Butler and
                  Kwang{-}Ting (Tim) Cheng and
                  Li{-}C. Wang},
  title        = {Guest Editors' Introduction: Speed Test and Speed Binning for Complex
                  ICs},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {20},
  number       = {5},
  pages        = {6--7},
  year         = {2003},
  url          = {https://doi.org/10.1109/MDT.2003.1232250},
  doi          = {10.1109/MDT.2003.1232250},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/ButlerCW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceta/LiouWKC03,
  author       = {Jing{-}Jia Liou and
                  Li{-}C. Wang and
                  Angela Krstic and
                  Kwang{-}Ting (Tim) Cheng},
  title        = {Critical Path Selection for Deep Sub-Micron Delay Test and Timing
                  Validation},
  journal      = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.},
  volume       = {86-A},
  number       = {12},
  pages        = {3038--3048},
  year         = {2003},
  url          = {http://search.ieice.org/bin/summary.php?id=e86-a\_12\_3038},
  timestamp    = {Tue, 08 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieiceta/LiouWKC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/FengWCPA03,
  author       = {Tao Feng and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Manish Pandey and
                  Magdy S. Abadir},
  editor       = {Hiroto Yasuura},
  title        = {Enhanced symbolic simulation for efficient verification of embedded
                  array systems},
  booktitle    = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003},
  pages        = {302--307},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/1119772.1119830},
  doi          = {10.1145/1119772.1119830},
  timestamp    = {Tue, 15 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/FengWCPA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OngCW03,
  author       = {Chee{-}Kian Ong and
                  Kwang{-}Ting (Tim) Cheng and
                  Li{-}C. Wang},
  editor       = {Hiroto Yasuura},
  title        = {Delta-sigma modulator based mixed-signal {BIST} architecture for SoC},
  booktitle    = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003},
  pages        = {669--674},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/1119772.1119922},
  doi          = {10.1145/1119772.1119922},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/OngCW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiouWKC03,
  author       = {Jing{-}Jia Liou and
                  Li{-}C. Wang and
                  Angela Krstic and
                  Kwang{-}Ting Cheng},
  editor       = {Hiroto Yasuura},
  title        = {Experience in critical path selection for deep sub-micron delay test
                  and timing validation},
  booktitle    = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference,
                  {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003},
  pages        = {751--756},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/1119772.1119940},
  doi          = {10.1145/1119772.1119940},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiouWKC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LuWCMH03,
  author       = {Feng Lu and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  John Moondanos and
                  Ziyad Hanna},
  title        = {A signal correlation guided {ATPG} solver and its applications for
                  solving difficult industrial cases},
  booktitle    = {Proceedings of the 40th Design Automation Conference, {DAC} 2003,
                  Anaheim, CA, USA, June 2-6, 2003},
  pages        = {436--441},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/775832.775947},
  doi          = {10.1145/775832.775947},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LuWCMH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KrsticWCLM03,
  author       = {Angela Krstic and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Jing{-}Jia Liou and
                  T. M. Mak},
  title        = {Enhancing diagnosis resolution for delay defects based upon statistical
                  timing and statistical fault models},
  booktitle    = {Proceedings of the 40th Design Automation Conference, {DAC} 2003,
                  Anaheim, CA, USA, June 2-6, 2003},
  pages        = {668--673},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/775832.776001},
  doi          = {10.1145/775832.776001},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KrsticWCLM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KrsticWCLA03,
  author       = {Angela Krstic and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Jing{-}Jia Liou and
                  Magdy S. Abadir},
  title        = {Delay Defect Diagnosis Based Upon Statistical Timing Models - The
                  First Step},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10328--10335},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10007},
  doi          = {10.1109/DATE.2003.10007},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/KrsticWCLA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LuWCH03,
  author       = {Feng Lu and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Ric C.{-}Y. Huang},
  title        = {A Circuit {SAT} Solver With Signal Correlation Guided Learning},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10892--10897},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10018},
  doi          = {10.1109/DATE.2003.10018},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LuWCH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/ParthasarathyICW03,
  author       = {Ganapathy Parthasarathy and
                  Madhu K. Iyer and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  title        = {A comparison of BDDs, BMC, and sequential {SAT} for model checking},
  booktitle    = {Eighth {IEEE} International High-Level Design Validation and Test
                  Workshop 2003, San Francisco, CA, USA, November 12-14, 2003},
  pages        = {157--162},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/HLDVT.2003.1252490},
  doi          = {10.1109/HLDVT.2003.1252490},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/ParthasarathyICW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KrsticLCW03,
  author       = {Angela Krstic and
                  Jing{-}Jia Liou and
                  Kwang{-}Ting Cheng and
                  Li{-}C. Wang},
  title        = {On Structural vs. Functional Testing for Delay Faults},
  booktitle    = {4th International Symposium on Quality of Electronic Design {(ISQED}
                  2003), 24-26 March 2003, San Jose, CA, {USA}},
  pages        = {438--441},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ISQED.2003.1194772},
  doi          = {10.1109/ISQED.2003.1194772},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KrsticLCW03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KrsticWCM03,
  author       = {Angela Krstic and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  T. M. Mak},
  title        = {Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools
                  and Methodologies},
  booktitle    = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking
                  Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte,
                  NC, {USA}},
  pages        = {339--348},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/TEST.2003.1270856},
  doi          = {10.1109/TEST.2003.1270856},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KrsticWCM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangKLCMWA03,
  author       = {Li{-}C. Wang and
                  Angela Krstic and
                  Leonard Lee and
                  Kwang{-}Ting Cheng and
                  M. Ray Mercer and
                  Thomas W. Williams and
                  Magdy S. Abadir},
  title        = {Using Logic Models To Predict The Detection Behavior Of Statistical
                  Timing Defects},
  booktitle    = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking
                  Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte,
                  NC, {USA}},
  pages        = {1041--1050},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/TEST.2003.1271092},
  doi          = {10.1109/TEST.2003.1271092},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangKLCMWA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/KrsticWCL03,
  author       = {Angela Krstic and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Jing{-}Jia Liou},
  title        = {Diagnosis of Delay Defects Using Statistical Timing Models},
  booktitle    = {21st {IEEE} {VLSI} Test Symposium {(VTS} 2003), 27 April - 1 May 2003,
                  Napa Valley, CA, {USA}},
  pages        = {339--344},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/VTEST.2003.1197672},
  doi          = {10.1109/VTEST.2003.1197672},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/KrsticWCL03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiouWCDMKW02,
  author       = {Jing{-}Jia Liou and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Jennifer Dworak and
                  M. Ray Mercer and
                  Rohit Kapur and
                  Thomas W. Williams},
  title        = {Enhancing test efficiency for delay fault testing using multiple-clocked
                  schemes},
  booktitle    = {Proceedings of the 39th Design Automation Conference, {DAC} 2002,
                  New Orleans, LA, USA, June 10-14, 2002},
  pages        = {371--374},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/513918.514013},
  doi          = {10.1145/513918.514013},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiouWCDMKW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiouKWC02,
  author       = {Jing{-}Jia Liou and
                  Angela Krstic and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng},
  title        = {False-path-aware statistical timing analysis and efficient path selection
                  for delay testing and timing validation},
  booktitle    = {Proceedings of the 39th Design Automation Conference, {DAC} 2002,
                  New Orleans, LA, USA, June 10-14, 2002},
  pages        = {566--569},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/513918.514061},
  doi          = {10.1145/513918.514061},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiouKWC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/DworakWCLWM02,
  author       = {Jennifer Dworak and
                  James Wingfield and
                  Brad Cobb and
                  Sooryong Lee and
                  Li{-}C. Wang and
                  M. Ray Mercer},
  title        = {Fortuitous Detection and its Impact on Test Set Sizes Using Stuck-at
                  and Transition Faults},
  booktitle    = {17th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2002), 6-8 November 2002, Vancouver, BC,
                  Canada, Proceedings},
  pages        = {177--185},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DFTVS.2002.1173514},
  doi          = {10.1109/DFTVS.2002.1173514},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/DworakWCLWM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiouWC02,
  author       = {Jing{-}Jia Liou and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng},
  editor       = {Lawrence T. Pileggi and
                  Andreas Kuehlmann},
  title        = {On theoretical and practical considerations of path selection for
                  delay fault testing},
  booktitle    = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided
                  Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002},
  pages        = {94--100},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1145/774572.774586},
  doi          = {10.1145/774572.774586},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiouWC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/ParthasarathyIFWCA02,
  author       = {Ganapathy Parthasarathy and
                  Madhu K. Iyer and
                  Tao Feng and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Magdy S. Abadir},
  title        = {Combining {ATPG} and Symbolic Simulation for Efficient Validation
                  of Embedded Array Systems},
  booktitle    = {Proceedings {IEEE} International Test Conference 2002, Baltimore,
                  MD, USA, October 7-10, 2002},
  pages        = {203--212},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/TEST.2002.1041762},
  doi          = {10.1109/TEST.2002.1041762},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/ParthasarathyIFWCA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangAZ02,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir and
                  Juhong Zhu},
  title        = {On Testing High-Performance Custom Circuits without Explicit Testing
                  of the Internal Faults},
  booktitle    = {Proceedings {IEEE} International Test Conference 2002, Baltimore,
                  MD, USA, October 7-10, 2002},
  pages        = {398--406},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/TEST.2002.1041785},
  doi          = {10.1109/TEST.2002.1041785},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangAZ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/LiouWCDMKW02,
  author       = {Jing{-}Jia Liou and
                  Li{-}C. Wang and
                  Kwang{-}Ting Cheng and
                  Jennifer Dworak and
                  M. Ray Mercer and
                  Rohit Kapur and
                  Thomas W. Williams},
  title        = {Analysis of Delay Test Effectiveness with a Multiple-Clock Scheme},
  booktitle    = {Proceedings {IEEE} International Test Conference 2002, Baltimore,
                  MD, USA, October 7-10, 2002},
  pages        = {407--416},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/TEST.2002.1041786},
  doi          = {10.1109/TEST.2002.1041786},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/LiouWCDMKW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/latw/WangA02,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Validation and Verification of Complex Digital Systems: {A} Practical
                  Perspective},
  booktitle    = {3rd Latin American Test Workshop, {LATW} 2002, Montevideo, Uruguay,
                  February 10-13, 2002},
  pages        = {1},
  publisher    = {{IEEE}},
  year         = {2002},
  timestamp    = {Wed, 26 Jul 2023 15:57:25 +0200},
  biburl       = {https://dblp.org/rec/conf/latw/WangA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/DworakWLGMBSW01,
  author       = {Jennifer Dworak and
                  Jason D. Wicker and
                  Sooryong Lee and
                  Michael R. Grimaila and
                  M. Ray Mercer and
                  Kenneth M. Butler and
                  Bret Stewart and
                  Li{-}C. Wang},
  title        = {Defect-Oriented Testing and Defective-Part-Level Prediction},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {18},
  number       = {1},
  pages        = {31--41},
  year         = {2001},
  url          = {https://doi.org/10.1109/54.902820},
  doi          = {10.1109/54.902820},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/DworakWLGMBSW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LaiLWW01,
  author       = {Jianbang Lai and
                  Ming{-}Shiun Lin and
                  Ting{-}Chi Wang and
                  Li{-}C. Wang},
  editor       = {Satoshi Goto},
  title        = {Module placement with boundary constraints using the sequence-pair
                  representation},
  booktitle    = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation
                  Conference 2001, January 30-February 2, 2001, Yokohama, Japan},
  pages        = {515--520},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/370155.370522},
  doi          = {10.1145/370155.370522},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LaiLWW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AbadirW01,
  author       = {Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {Verification and Validation of Complex Digital Systems: An Industrial
                  Perspective},
  booktitle    = {2nd International Symposium on Quality of Electronic Design {(ISQED}
                  2001), 26-28 March 2001, San Jose, CA, {USA}},
  pages        = {11--12},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/AbadirW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/AbadirZW01,
  author       = {Magdy S. Abadir and
                  Juhong Zhu and
                  Li{-}C. Wang},
  title        = {Analysis of Testing Methodologies for Custom Designs in PowerPCTM
                  Microprocessor},
  booktitle    = {19th {IEEE} {VLSI} Test Symposium {(VTS} 2001), Test and Diagnosis
                  in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA,
                  {USA}},
  pages        = {252--259},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/VTS.2001.923447},
  doi          = {10.1109/VTS.2001.923447},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/AbadirZW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/WangA00,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {On Efficiently Producing Quality Tests for Custom Circuits in PowerPC\({}^{\mbox{TM}}\)
                  Microprocessors},
  journal      = {J. Electron. Test.},
  volume       = {16},
  number       = {1-2},
  pages        = {121--130},
  year         = {2000},
  url          = {https://doi.org/10.1023/A:1008353109659},
  doi          = {10.1023/A:1008353109659},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/WangA00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/ChengAJWWW00,
  author       = {Kwang{-}Ting Cheng and
                  Vishwani D. Agrawal and
                  Jing{-}Yang Jou and
                  Li{-}C. Wang and
                  Chi{-}Feng Wu and
                  Shianling Wu},
  title        = {Collaboration between Industry and Academia in Test Research},
  booktitle    = {9th Asian Test Symposium {(ATS} 2000), 4-6 December 2000, Taipei,
                  Taiwan},
  pages        = {17},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ATS.2000.10002},
  doi          = {10.1109/ATS.2000.10002},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/ChengAJWWW00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ats/DworakGCWWM00,
  author       = {Jennifer Dworak and
                  Michael R. Grimaila and
                  Brad Cobb and
                  Ting{-}Chi Wang and
                  Li{-}C. Wang and
                  M. Ray Mercer},
  title        = {On the superiority of {DO-RE-ME/MPG-D} over stuck-at-based defective
                  part level prediction},
  booktitle    = {9th Asian Test Symposium {(ATS} 2000), 4-6 December 2000, Taipei,
                  Taiwan},
  pages        = {151},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ATS.2000.893618},
  doi          = {10.1109/ATS.2000.893618},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ats/DworakGCWWM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DworakGLWM00,
  author       = {Jennifer Dworak and
                  Michael R. Grimaila and
                  Sooryong Lee and
                  Li{-}C. Wang and
                  M. Ray Mercer},
  title        = {Enhanced {DO-RE-ME} based defect level prediction using defect site
                  aggregation-MPG-D},
  booktitle    = {Proceedings {IEEE} International Test Conference 2000, Atlantic City,
                  NJ, USA, October 2000},
  pages        = {930--939},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/TEST.2000.894304},
  doi          = {10.1109/TEST.2000.894304},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DworakGLWM00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/WangA99,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Experience in Validation of PowerPCTM Microprocessor Embedded Arrays},
  journal      = {J. Electron. Test.},
  volume       = {15},
  number       = {1-2},
  pages        = {191--205},
  year         = {1999},
  url          = {https://doi.org/10.1023/A:1008352805631},
  doi          = {10.1023/A:1008352805631},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/WangA99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangA99,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Tradeoff analysis for producing high quality tests for custom circuits
                  in PowerPC microprocessors},
  booktitle    = {Proceedings {IEEE} International Test Conference 1999, Atlantic City,
                  NJ, USA, 27-30 September 1999},
  pages        = {830--838},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/TEST.1999.805814},
  doi          = {10.1109/TEST.1999.805814},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangA99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/DworakGLWM99,
  author       = {Jennifer Dworak and
                  Michael R. Grimaila and
                  Sooryong Lee and
                  Li{-}C. Wang and
                  M. Ray Mercer},
  title        = {Modeling the probability of defect excitation for a commercial {IC}
                  with implications for stuck-at fault-based {ATPG} strategies},
  booktitle    = {Proceedings {IEEE} International Test Conference 1999, Atlantic City,
                  NJ, USA, 27-30 September 1999},
  pages        = {1031--1037},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/TEST.1999.805836},
  doi          = {10.1109/TEST.1999.805836},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/DworakGLWM99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/GrimailaLDBSBHMPWM99,
  author       = {Michael R. Grimaila and
                  Sooryong Lee and
                  Jennifer Dworak and
                  Kenneth M. Butler and
                  Bret Stewart and
                  Hari Balachandran and
                  Bryan Houchins and
                  Vineet Mathur and
                  Jaehong Park and
                  Li{-}C. Wang and
                  M. Ray Mercer},
  title        = {{REDO} - Probabilistic Excitation and Deterministic Observation -
                  First Commercial Experimen},
  booktitle    = {17th {IEEE} {VLSI} Test Symposium {(VTS} '99), 25-30 April 1999, San
                  Diego, CA, {USA}},
  pages        = {268--274},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/VTEST.1999.766675},
  doi          = {10.1109/VTEST.1999.766675},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/GrimailaLDBSBHMPWM99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/et/WangA98,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Test Generation Based on High-Level Assertion Specification for PowerPCTM
                  Microprocessor Embedded Arrays},
  journal      = {J. Electron. Test.},
  volume       = {13},
  number       = {2},
  pages        = {121--135},
  year         = {1998},
  url          = {https://doi.org/10.1023/A:1008353704141},
  doi          = {10.1023/A:1008353704141},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/et/WangA98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/WangAZ98,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir and
                  Jing Zeng},
  title        = {On measuring the effectiveness of various design validation approaches
                  for PowerPC microprocessor embedded arrays},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {3},
  number       = {4},
  pages        = {524--532},
  year         = {1998},
  url          = {https://doi.org/10.1145/296333.296335},
  doi          = {10.1145/296333.296335},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/WangAZ98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangAK98,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir and
                  Nari Krishnamurthy},
  editor       = {Basant R. Chawla and
                  Randal E. Bryant and
                  Jan M. Rabaey},
  title        = {Automatic Generation of Assertions for Formal Verification of PowerPC
                  Microprocessor Arrays Using Symbolic Trajectory Evaluation},
  booktitle    = {Proceedings of the 35th Conference on Design Automation, Moscone center,
                  San Francico, California, USA, June 15-19, 1998},
  pages        = {534--537},
  publisher    = {{ACM} Press},
  year         = {1998},
  url          = {https://doi.org/10.1145/277044.277188},
  doi          = {10.1145/277044.277188},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/WangAK98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangAZ98,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir and
                  Jing Zeng},
  editor       = {Patrick M. Dewilde and
                  Franz J. Rammig and
                  Gerry Musgrave},
  title        = {Measuring the Effectiveness of Various Design Validation Approaches
                  For PowerPC(TM) Microprocessor Arrays},
  booktitle    = {1998 Design, Automation and Test in Europe {(DATE} '98), February
                  23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France},
  pages        = {273--277},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/DATE.1998.655867},
  doi          = {10.1109/DATE.1998.655867},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/WangAZ98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChandraWA98,
  author       = {Arun Chandra and
                  Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {Practical Considerations in Formal Equivalence Checking of PowerPC(tm)
                  Microprocessors},
  booktitle    = {8th Great Lakes Symposium on {VLSI} {(GLS-VLSI} '98), 19-21 February
                  1998, Lafayette, LA, {USA}},
  pages        = {362--367},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/GLSV.1998.665314},
  doi          = {10.1109/GLSV.1998.665314},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChandraWA98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WangAZ98,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir and
                  Jing Zeng},
  title        = {On Logic and Transistor Level Design Error Detection of Various Validation
                  Approaches for PowerPC(tm) Microprocessor Arrays},
  booktitle    = {16th {IEEE} {VLSI} Test Symposium {(VTS} '98), 28 April - 1 May 1998,
                  Princeton, NJ, {USA}},
  pages        = {260--265},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/VTEST.1998.670878},
  doi          = {10.1109/VTEST.1998.670878},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/WangAZ98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangA97,
  author       = {Li{-}C. Wang and
                  Magdy S. Abadir},
  title        = {A New Validation Methodology Combining Test and Formal Verification
                  for PowerPC\({}^{\mbox{TM}}\) Microprocessor Arrays},
  booktitle    = {Proceedings {IEEE} International Test Conference 1997, Washington,
                  DC, USA, November 3-5, 1997},
  pages        = {954--963},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/TEST.1997.639711},
  doi          = {10.1109/TEST.1997.639711},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangA97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangMW96,
  author       = {Li{-}C. Wang and
                  M. Ray Mercer and
                  Thomas W. Williams},
  title        = {A Better {ATPG} Algorithm and Its Design Principles},
  booktitle    = {1996 International Conference on Computer Design {(ICCD} '96), {VLSI}
                  in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings},
  pages        = {248--253},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/ICCD.1996.563564},
  doi          = {10.1109/ICCD.1996.563564},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/WangMW96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangMW96,
  author       = {Li{-}C. Wang and
                  M. Ray Mercer and
                  Thomas W. Williams},
  title        = {Using Target Faults To Detect Non-Tartget Defects},
  booktitle    = {Proceedings {IEEE} International Test Conference 1996, Test and Design
                  Validity, Washington, DC, USA, October 20-25, 1996},
  pages        = {629--638},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/TEST.1996.557120},
  doi          = {10.1109/TEST.1996.557120},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangMW96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangMW95,
  author       = {Li{-}C. Wang and
                  M. Ray Mercer and
                  Thomas W. Williams},
  title        = {Enhanced testing performance via unbiased test sets},
  booktitle    = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris,
                  France, March 6-9, 1995},
  pages        = {294--302},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/EDTC.1995.470381},
  doi          = {10.1109/EDTC.1995.470381},
  timestamp    = {Fri, 20 May 2022 15:41:46 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WangMW95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/WangMW95,
  author       = {Li{-}C. Wang and
                  M. Ray Mercer and
                  Thomas W. Williams},
  title        = {On Efficiently and Reliably Achieving Low Defective Part Levels},
  booktitle    = {Proceedings {IEEE} International Test Conference 1995, Driving Down
                  the Cost of Test, Washington, DC, USA, October 21-25, 1995},
  pages        = {616--625},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/TEST.1995.529890},
  doi          = {10.1109/TEST.1995.529890},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/WangMW95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/WangMKW95,
  author       = {Li{-}C. Wang and
                  M. Ray Mercer and
                  Sophia W. Kao and
                  Thomas W. Williams},
  title        = {On the decline of testing efficiency as fault coverage approaches
                  100{\%}},
  booktitle    = {13th {IEEE} {VLSI} Test Symposium (VTS'95), April 30 - May 3, 1995,
                  Princeton, New Jersey, {USA}},
  pages        = {74--83},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/VTEST.1995.512620},
  doi          = {10.1109/VTEST.1995.512620},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/WangMKW95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/spaa/GreenbergLW93,
  author       = {Albert G. Greenberg and
                  Boris D. Lubachevsky and
                  Li{-}C. Wang},
  editor       = {Lawrence Snyder},
  title        = {Experience in Massively Parallel Discrete Event Simulation},
  booktitle    = {Proceedings of the 5th Annual {ACM} Symposium on Parallel Algorithms
                  and Architectures, {SPAA} '93, Velen, Germany, June 30 - July 2, 1993},
  pages        = {193--202},
  publisher    = {{ACM}},
  year         = {1993},
  url          = {https://doi.org/10.1145/165231.165256},
  doi          = {10.1145/165231.165256},
  timestamp    = {Wed, 21 Nov 2018 12:27:44 +0100},
  biburl       = {https://dblp.org/rec/conf/spaa/GreenbergLW93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/spdp/RamachandranW91,
  author       = {Vijaya Ramachandran and
                  Li{-}Chung Wang},
  title        = {Parallel algorithm and complexity results for telephone link simulation},
  booktitle    = {Proceedings of the Third {IEEE} Symposium on Parallel and Distributed
                  Processing, {SPDP} 1991, 2-5 December 1991, Dallas, Texas, {USA}},
  pages        = {378--385},
  publisher    = {{IEEE} Computer Society},
  year         = {1991},
  url          = {https://doi.org/10.1109/SPDP.1991.218216},
  doi          = {10.1109/SPDP.1991.218216},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/spdp/RamachandranW91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics