BibTeX records: Sungjoo Yoo

download as .bib file

@inproceedings{DBLP:conf/aaai/LeeCBYR24,
  author       = {JongMin Lee and
                  Yohann Cabon and
                  Romain Br{\'{e}}gier and
                  Sungjoo Yoo and
                  J{\'{e}}r{\^{o}}me Revaud},
  editor       = {Michael J. Wooldridge and
                  Jennifer G. Dy and
                  Sriraam Natarajan},
  title        = {{MFOS:} Model-Free {\&} One-Shot Object Pose Estimation},
  booktitle    = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI}
                  2024, Thirty-Sixth Conference on Innovative Applications of Artificial
                  Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances
                  in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver,
                  Canada},
  pages        = {2911--2919},
  publisher    = {{AAAI} Press},
  year         = {2024},
  url          = {https://doi.org/10.1609/aaai.v38i4.28072},
  doi          = {10.1609/AAAI.V38I4.28072},
  timestamp    = {Tue, 02 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aaai/LeeCBYR24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aaai/KimLYK24,
  author       = {Han{-}Byul Kim and
                  Joo Hyung Lee and
                  Sungjoo Yoo and
                  Hong{-}Seok Kim},
  editor       = {Michael J. Wooldridge and
                  Jennifer G. Dy and
                  Sriraam Natarajan},
  title        = {MetaMix: Meta-State Precision Searcher for Mixed-Precision Activation
                  Quantization},
  booktitle    = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI}
                  2024, Thirty-Sixth Conference on Innovative Applications of Artificial
                  Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances
                  in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver,
                  Canada},
  pages        = {13132--13141},
  publisher    = {{AAAI} Press},
  year         = {2024},
  url          = {https://doi.org/10.1609/aaai.v38i12.29212},
  doi          = {10.1609/AAAI.V38I12.29212},
  timestamp    = {Tue, 02 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aaai/KimLYK24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-00863,
  author       = {Hyunyoung Jung and
                  Seonghyeon Nam and
                  Nikolaos Sarafianos and
                  Sungjoo Yoo and
                  Alexander Sorkine{-}Hornung and
                  Rakesh Ranjan},
  title        = {Geometry Transfer for Stylizing Radiance Fields},
  journal      = {CoRR},
  volume       = {abs/2402.00863},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.00863},
  doi          = {10.48550/ARXIV.2402.00863},
  eprinttype    = {arXiv},
  eprint       = {2402.00863},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-00863.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2402-02447,
  author       = {Yongdeok Kim and
                  Jaehyung Ahn and
                  Myeongwoo Kim and
                  Changin Choi and
                  Heejae Kim and
                  Narankhuu Tuvshinjargal and
                  Seungwon Lee and
                  Yanzi Zhang and
                  Yuan Pei and
                  Xiongzhan Linghu and
                  Jingkun Ma and
                  Lin Chen and
                  Yuehua Dai and
                  Sungjoo Yoo},
  title        = {Breaking MLPerf Training: {A} Case Study on Optimizing {BERT}},
  journal      = {CoRR},
  volume       = {abs/2402.02447},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2402.02447},
  doi          = {10.48550/ARXIV.2402.02447},
  eprinttype    = {arXiv},
  eprint       = {2402.02447},
  timestamp    = {Fri, 09 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2402-02447.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cvpr/ShinSPKYP23,
  author       = {Juncheol Shin and
                  Junhyuk So and
                  Sein Park and
                  Seungyeop Kang and
                  Sungjoo Yoo and
                  Eunhyeok Park},
  title        = {{NIPQ:} Noise proxy-based Integrated Pseudo-Quantization},
  booktitle    = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition,
                  {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023},
  pages        = {3852--3861},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/CVPR52729.2023.00375},
  doi          = {10.1109/CVPR52729.2023.00375},
  timestamp    = {Mon, 28 Aug 2023 16:14:07 +0200},
  biburl       = {https://dblp.org/rec/conf/cvpr/ShinSPKYP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cvpr/JungHLYLYRD23,
  author       = {Hyunyoung Jung and
                  Zhuo Hui and
                  Lei Luo and
                  Haitao Yang and
                  Feng Liu and
                  Sungjoo Yoo and
                  Rakesh Ranjan and
                  Denis Demandolx},
  title        = {AnyFlow: Arbitrary Scale Optical Flow with Implicit Neural Representation},
  booktitle    = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition,
                  {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023},
  pages        = {5455--5465},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/CVPR52729.2023.00528},
  doi          = {10.1109/CVPR52729.2023.00528},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cvpr/JungHLYLYRD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cvpr/LeePY23,
  author       = {JongMin Lee and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {Multi-scale Local Implicit Keypoint Descriptor for Keypoint Matching},
  booktitle    = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition,
                  {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023},
  pages        = {6145--6154},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/CVPRW59228.2023.00654},
  doi          = {10.1109/CVPRW59228.2023.00654},
  timestamp    = {Wed, 23 Aug 2023 16:23:26 +0200},
  biburl       = {https://dblp.org/rec/conf/cvpr/LeePY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/ChoiLKKLLYY23,
  author       = {Euntae Choi and
                  Youshin Lim and
                  Byeong{-}Yeol Kim and
                  Hyung Yong Kim and
                  Hanbin Lee and
                  Yunkyu Lim and
                  Seung Woo Yu and
                  Sungjoo Yoo},
  title        = {Masked Token Similarity Transfer for Compressing Transformer-Based
                  {ASR} Models},
  booktitle    = {{IEEE} International Conference on Acoustics, Speech and Signal Processing
                  {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICASSP49357.2023.10096531},
  doi          = {10.1109/ICASSP49357.2023.10096531},
  timestamp    = {Sun, 05 Nov 2023 16:51:21 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/ChoiLKKLLYY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2303-16493,
  author       = {Hyunyoung Jung and
                  Zhuo Hui and
                  Lei Luo and
                  Haitao Yang and
                  Feng Liu and
                  Sungjoo Yoo and
                  Rakesh Ranjan and
                  Denis Demandolx},
  title        = {AnyFlow: Arbitrary Scale Optical Flow with Implicit Neural Representation},
  journal      = {CoRR},
  volume       = {abs/2303.16493},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2303.16493},
  doi          = {10.48550/ARXIV.2303.16493},
  eprinttype    = {arXiv},
  eprint       = {2303.16493},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2303-16493.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2306-15577,
  author       = {Junwhan Ahn and
                  Sungpack Hong and
                  Sungjoo Yoo and
                  Onur Mutlu and
                  Kiyoung Choi},
  title        = {Retrospective: {A} Scalable Processing-in-Memory Accelerator for Parallel
                  Graph Processing},
  journal      = {CoRR},
  volume       = {abs/2306.15577},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2306.15577},
  doi          = {10.48550/ARXIV.2306.15577},
  eprinttype    = {arXiv},
  eprint       = {2306.15577},
  timestamp    = {Fri, 30 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2306-15577.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2310-01897,
  author       = {JongMin Lee and
                  Yohann Cabon and
                  Romain Br{\'{e}}gier and
                  Sungjoo Yoo and
                  J{\'{e}}r{\^{o}}me Revaud},
  title        = {{MFOS:} Model-Free {\&} One-Shot Object Pose Estimation},
  journal      = {CoRR},
  volume       = {abs/2310.01897},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2310.01897},
  doi          = {10.48550/ARXIV.2310.01897},
  eprinttype    = {arXiv},
  eprint       = {2310.01897},
  timestamp    = {Thu, 19 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-01897.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-06798,
  author       = {Han{-}Byul Kim and
                  Joo Hyung Lee and
                  Sungjoo Yoo and
                  Hong{-}Seok Kim},
  title        = {MetaMix: Meta-state Precision Searcher for Mixed-precision Activation
                  Quantization},
  journal      = {CoRR},
  volume       = {abs/2311.06798},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.06798},
  doi          = {10.48550/ARXIV.2311.06798},
  eprinttype    = {arXiv},
  eprint       = {2311.06798},
  timestamp    = {Wed, 15 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-06798.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ChandraCY22,
  author       = {Vikas Chandra and
                  Yiran Chen and
                  Sungjoo Yoo},
  title        = {Introduction to the Special Section on Energy-Efficient {AI} Chips},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {27},
  number       = {5},
  pages        = {41:1--41:2},
  year         = {2022},
  url          = {https://doi.org/10.1145/3538502},
  doi          = {10.1145/3538502},
  timestamp    = {Sun, 16 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/ChandraCY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eccv/KimPY22,
  author       = {Han{-}Byul Kim and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  editor       = {Shai Avidan and
                  Gabriel J. Brostow and
                  Moustapha Ciss{\'{e}} and
                  Giovanni Maria Farinella and
                  Tal Hassner},
  title        = {{BASQ:} Branch-wise Activation-clipping Search Quantization for Sub-4-bit
                  Neural Networks},
  booktitle    = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv,
                  Israel, October 23-27, 2022, Proceedings, Part {XII}},
  series       = {Lecture Notes in Computer Science},
  volume       = {13672},
  pages        = {17--33},
  publisher    = {Springer},
  year         = {2022},
  url          = {https://doi.org/10.1007/978-3-031-19775-8\_2},
  doi          = {10.1007/978-3-031-19775-8\_2},
  timestamp    = {Sun, 13 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/eccv/KimPY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/KangY22,
  author       = {Seungyeop Kang and
                  Sungjoo Yoo},
  title        = {TernaryNeRF: Quantizing Voxel Grid-based NeRF Models},
  booktitle    = {{IEEE} International Workshop on Rapid System Prototyping, {RSP} 2022,
                  Shanghai, China, October 13, 2022},
  pages        = {8--14},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/RSP57251.2022.10039009},
  doi          = {10.1109/RSP57251.2022.10039009},
  timestamp    = {Tue, 21 Feb 2023 17:16:37 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/KangY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2207-01395,
  author       = {Namwoo Lee and
                  Hyunsu Kim and
                  Gayoung Lee and
                  Sungjoo Yoo and
                  Yunjey Choi},
  title        = {Memory Efficient Patch-based Training for INR-based GANs},
  journal      = {CoRR},
  volume       = {abs/2207.01395},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2207.01395},
  doi          = {10.48550/ARXIV.2207.01395},
  eprinttype    = {arXiv},
  eprint       = {2207.01395},
  timestamp    = {Wed, 06 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2207-01395.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/LeeY21,
  author       = {Taemin Lee and
                  Sungjoo Yoo},
  title        = {Augmenting Few-Shot Learning With Supervised Contrastive Learning},
  journal      = {{IEEE} Access},
  volume       = {9},
  pages        = {61466--61474},
  year         = {2021},
  url          = {https://doi.org/10.1109/ACCESS.2021.3074525},
  doi          = {10.1109/ACCESS.2021.3074525},
  timestamp    = {Sun, 16 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/LeeY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cvpr/KimCKYU21,
  author       = {Hyunsu Kim and
                  Yunjey Choi and
                  Junho Kim and
                  Sungjoo Yoo and
                  Youngjung Uh},
  title        = {Exploiting Spatial Dimensions of Latent in {GAN} for Real-Time Image
                  Editing},
  booktitle    = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR}
                  2021, virtual, June 19-25, 2021},
  pages        = {852--861},
  publisher    = {Computer Vision Foundation / {IEEE}},
  year         = {2021},
  url          = {https://openaccess.thecvf.com/content/CVPR2021/html/Kim\_Exploiting\_Spatial\_Dimensions\_of\_Latent\_in\_GAN\_for\_Real-Time\_Image\_CVPR\_2021\_paper.html},
  doi          = {10.1109/CVPR46437.2021.00091},
  timestamp    = {Mon, 18 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cvpr/KimCKYU21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccv/JungPY21,
  author       = {Hyunyoung Jung and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {Fine-grained Semantics-aware Representation Enhancement for Self-supervised
                  Monocular Depth Estimation},
  booktitle    = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV}
                  2021, Montreal, QC, Canada, October 10-17, 2021},
  pages        = {12622--12632},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCV48922.2021.01241},
  doi          = {10.1109/ICCV48922.2021.01241},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccv/JungPY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/KimCPY21,
  author       = {Soobeom Kim and
                  Seunghwan Cho and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {{FPGA} Prototyping of Systolic Array-based Accelerator for Low-Precision
                  Inference of Deep Neural Networks},
  booktitle    = {{IEEE} International Workshop on Rapid System Prototyping, {RSP} 2021,
                  Paris, France, October 14, 2021},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/RSP53691.2021.9806200},
  doi          = {10.1109/RSP53691.2021.9806200},
  timestamp    = {Mon, 04 Jul 2022 08:26:36 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/KimCPY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2104-14754,
  author       = {Hyunsu Kim and
                  Yunjey Choi and
                  Junho Kim and
                  Sungjoo Yoo and
                  Youngjung Uh},
  title        = {StyleMapGAN: Exploiting Spatial Dimensions of Latent in {GAN} for
                  Real-time Image Editing},
  journal      = {CoRR},
  volume       = {abs/2104.14754},
  year         = {2021},
  url          = {https://arxiv.org/abs/2104.14754},
  eprinttype    = {arXiv},
  eprint       = {2104.14754},
  timestamp    = {Tue, 04 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2104-14754.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2108-08829,
  author       = {Hyunyoung Jung and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {Fine-grained Semantics-aware Representation Enhancement for Self-supervised
                  Monocular Depth Estimation},
  journal      = {CoRR},
  volume       = {abs/2108.08829},
  year         = {2021},
  url          = {https://arxiv.org/abs/2108.08829},
  eprinttype    = {arXiv},
  eprint       = {2108.08829},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2108-08829.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2112-14370,
  author       = {Sung Min Cho and
                  Hongjun Lim and
                  Keunchan Park and
                  Sungjoo Yoo and
                  Eunhyeok Park},
  title        = {On the Overlooked Significance of Underutilized Contextual Features
                  in Recent News Recommendation Models},
  journal      = {CoRR},
  volume       = {abs/2112.14370},
  year         = {2021},
  url          = {https://arxiv.org/abs/2112.14370},
  eprinttype    = {arXiv},
  eprint       = {2112.14370},
  timestamp    = {Wed, 05 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-14370.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/ChoCPSY20,
  author       = {Seunghwan Cho and
                  Haerang Choi and
                  Eunhyeok Park and
                  Hyunsung Shin and
                  Sungjoo Yoo},
  title        = {McDRAM v2: In-Dynamic Random Access Memory Systolic Array Accelerator
                  to Address the Large Model Problem in Deep Neural Networks on the
                  Edge},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {135223--135243},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.3011265},
  doi          = {10.1109/ACCESS.2020.3011265},
  timestamp    = {Fri, 14 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/ChoCPSY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/ChoiHLY20,
  author       = {Haerang Choi and
                  Do{-}sun Hong and
                  Jaesung Lee and
                  Sungjoo Yoo},
  title        = {Reducing {DRAM} refresh power consumption by runtime profiling of
                  retention time and dual-row activation},
  journal      = {Microprocess. Microsystems},
  volume       = {72},
  year         = {2020},
  url          = {https://doi.org/10.1016/j.micpro.2019.102942},
  doi          = {10.1016/J.MICPRO.2019.102942},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/ChoiHLY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KangY20,
  author       = {Won{-}Kyung Kang and
                  Sungjoo Yoo},
  title        = {{\textdollar}Q{\textdollar} -Value Prediction for Reinforcement Learning
                  Assisted Garbage Collection to Reduce Long Tail Latency in {SSD}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {10},
  pages        = {2240--2253},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2019.2962781},
  doi          = {10.1109/TCAD.2019.2962781},
  timestamp    = {Tue, 06 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KangY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/coolchips/ChoiLKY20,
  author       = {Haerang Choi and
                  Yosep Lee and
                  Jae{-}Joon Kim and
                  Sungjoo Yoo},
  title        = {A Novel In-DRAM Accelerator Architecture for Binary Neural Network},
  booktitle    = {2020 {IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS}
                  2020, Kokubunji, Japan, April 15-17, 2020},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/COOLCHIPS49199.2020.9097642},
  doi          = {10.1109/COOLCHIPS49199.2020.9097642},
  timestamp    = {Sat, 06 Jun 2020 15:00:53 +0200},
  biburl       = {https://dblp.org/rec/conf/coolchips/ChoiLKY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eccv/ParkY20,
  author       = {Eunhyeok Park and
                  Sungjoo Yoo},
  editor       = {Andrea Vedaldi and
                  Horst Bischof and
                  Thomas Brox and
                  Jan{-}Michael Frahm},
  title        = {{PROFIT:} {A} Novel Training Method for sub-4-bit MobileNet Models},
  booktitle    = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow,
                  UK, August 23-28, 2020, Proceedings, Part {VI}},
  series       = {Lecture Notes in Computer Science},
  volume       = {12351},
  pages        = {430--446},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-58539-6\_26},
  doi          = {10.1007/978-3-030-58539-6\_26},
  timestamp    = {Sat, 14 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/eccv/ParkY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recsys/ChoPY20,
  author       = {Sung Min Cho and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  editor       = {Rodrygo L. T. Santos and
                  Leandro Balby Marinho and
                  Elizabeth M. Daly and
                  Li Chen and
                  Kim Falk and
                  Noam Koenigstein and
                  Edleno Silva de Moura},
  title        = {{MEANTIME:} Mixture of Attention Mechanisms with Multi-temporal Embeddings
                  for Sequential Recommendation},
  booktitle    = {RecSys 2020: Fourteenth {ACM} Conference on Recommender Systems, Virtual
                  Event, Brazil, September 22-26, 2020},
  pages        = {515--520},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3383313.3412216},
  doi          = {10.1145/3383313.3412216},
  timestamp    = {Thu, 24 Sep 2020 10:19:44 +0200},
  biburl       = {https://dblp.org/rec/conf/recsys/ChoPY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2008-04693,
  author       = {Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {{PROFIT:} {A} Novel Training Method for sub-4-bit MobileNet Models},
  journal      = {CoRR},
  volume       = {abs/2008.04693},
  year         = {2020},
  url          = {https://arxiv.org/abs/2008.04693},
  eprinttype    = {arXiv},
  eprint       = {2008.04693},
  timestamp    = {Sun, 16 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2008-04693.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2008-08273,
  author       = {Sung Min Cho and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {{MEANTIME:} Mixture of Attention Mechanisms with Multi-temporal Embeddings
                  for Sequential Recommendation},
  journal      = {CoRR},
  volume       = {abs/2008.08273},
  year         = {2020},
  url          = {https://arxiv.org/abs/2008.08273},
  eprinttype    = {arXiv},
  eprint       = {2008.08273},
  timestamp    = {Fri, 21 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2008-08273.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceee/KooPKPRYK19,
  author       = {Jongeun Koo and
                  Eunhyeok Park and
                  Dongyoung Kim and
                  Junki Park and
                  Sungju Ryu and
                  Sungjoo Yoo and
                  Jae{-}Joon Kim},
  title        = {Low-overhead, one-cycle timing-error detection and correction technique
                  for flip-flop based pipelines},
  journal      = {{IEICE} Electron. Express},
  volume       = {16},
  number       = {11},
  pages        = {20190180},
  year         = {2019},
  url          = {https://doi.org/10.1587/elex.16.20190180},
  doi          = {10.1587/ELEX.16.20190180},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ieiceee/KooPKPRYK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/WuBCCCDHIJJLLLQ19,
  author       = {Carole{-}Jean Wu and
                  David Brooks and
                  Kevin Chen and
                  Douglas Chen and
                  Sy Choudhury and
                  Marat Dukhan and
                  Kim M. Hazelwood and
                  Eldad Isaac and
                  Yangqing Jia and
                  Bill Jia and
                  Tommer Leyvand and
                  Hao Lu and
                  Yang Lu and
                  Lin Qiao and
                  Brandon Reagen and
                  Joe Spisak and
                  Fei Sun and
                  Andrew Tulloch and
                  Peter Vajda and
                  Xiaodong Wang and
                  Yanghan Wang and
                  Bram Wasti and
                  Yiming Wu and
                  Ran Xian and
                  Sungjoo Yoo and
                  Peizhao Zhang},
  title        = {Machine Learning at Facebook: Understanding Inference at the Edge},
  booktitle    = {25th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2019, Washington, DC, USA, February 16-20, 2019},
  pages        = {331--344},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HPCA.2019.00048},
  doi          = {10.1109/HPCA.2019.00048},
  timestamp    = {Wed, 15 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/WuBCCCDHIJJLLLQ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccv/KimJPY19,
  author       = {Hyunsu Kim and
                  Ho Young Jhoo and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {Tag2Pix: Line Art Colorization Using Text Tag With SECat and Changing
                  Loss},
  booktitle    = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV}
                  2019, Seoul, Korea (South), October 27 - November 2, 2019},
  pages        = {9055--9064},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCV.2019.00915},
  doi          = {10.1109/ICCV.2019.00915},
  timestamp    = {Thu, 05 Mar 2020 10:01:04 +0100},
  biburl       = {https://dblp.org/rec/conf/iccv/KimJPY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itc/KimLY19,
  author       = {Jisuk Kim and
                  Jinyub Lee and
                  Sungjoo Yoo},
  title        = {Machine Learning-Based Automatic Generation of eFuse Configuration
                  in {NAND} Flash Chip},
  booktitle    = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC,
                  USA, November 9-15, 2019},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ITC44170.2019.9000162},
  doi          = {10.1109/ITC44170.2019.9000162},
  timestamp    = {Mon, 24 Feb 2020 17:28:46 +0100},
  biburl       = {https://dblp.org/rec/conf/itc/KimLY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1908-05840,
  author       = {Hyunsu Kim and
                  Ho Young Jhoo and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {Tag2Pix: Line Art Colorization Using Text Tag With SECat and Changing
                  Loss},
  journal      = {CoRR},
  volume       = {abs/1908.05840},
  year         = {2019},
  url          = {http://arxiv.org/abs/1908.05840},
  eprinttype    = {arXiv},
  eprint       = {1908.05840},
  timestamp    = {Mon, 19 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1908-05840.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/KimAY18,
  author       = {Dongyoung Kim and
                  Junwhan Ahn and
                  Sungjoo Yoo},
  title        = {ZeNA: Zero-Aware Neural Network Accelerator},
  journal      = {{IEEE} Des. Test},
  volume       = {35},
  number       = {1},
  pages        = {39--46},
  year         = {2018},
  url          = {https://doi.org/10.1109/MDAT.2017.2741463},
  doi          = {10.1109/MDAT.2017.2741463},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/KimAY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SonAY18,
  author       = {Mungyu Son and
                  Junwhan Ahn and
                  Sungjoo Yoo},
  title        = {Nonvolatile Write Buffer-Based Journaling Bypass for Storage Write
                  Reduction in Mobile Devices},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {9},
  pages        = {1747--1759},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2017.2774192},
  doi          = {10.1109/TCAD.2017.2774192},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/SonAY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShinKPPPY18,
  author       = {Hyunsung Shin and
                  Dongyoung Kim and
                  Eunhyeok Park and
                  Sungho Park and
                  Yongsik Park and
                  Sungjoo Yoo},
  title        = {McDRAM: Low Latency and Energy-Efficient Matrix Computations in {DRAM}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {11},
  pages        = {2613--2622},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2018.2857044},
  doi          = {10.1109/TCAD.2018.2857044},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ShinKPPPY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KangY18,
  author       = {Won{-}Kyung Kang and
                  Sungjoo Yoo},
  title        = {Dynamic management of key states for reinforcement learning-assisted
                  garbage collection to reduce long tail latency in {SSD}},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {8:1--8:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196034},
  doi          = {10.1145/3195970.3196034},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KangY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KangKKYH18,
  author       = {Duseok Kang and
                  Donghyun Kang and
                  Jintaek Kang and
                  Sungjoo Yoo and
                  Soonhoi Ha},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Joint optimization of speed, accuracy, and energy for embedded image
                  recognition systems},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {715--720},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342102},
  doi          = {10.23919/DATE.2018.8342102},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KangKKYH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eccv/ParkYV18,
  author       = {Eunhyeok Park and
                  Sungjoo Yoo and
                  Peter Vajda},
  editor       = {Vittorio Ferrari and
                  Martial Hebert and
                  Cristian Sminchisescu and
                  Yair Weiss},
  title        = {Value-Aware Quantization for Training and Inference of Neural Networks},
  booktitle    = {Computer Vision - {ECCV} 2018 - 15th European Conference, Munich,
                  Germany, September 8-14, 2018, Proceedings, Part {IV}},
  series       = {Lecture Notes in Computer Science},
  volume       = {11208},
  pages        = {608--624},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-3-030-01225-0\_36},
  doi          = {10.1007/978-3-030-01225-0\_36},
  timestamp    = {Tue, 14 May 2019 10:00:45 +0200},
  biburl       = {https://dblp.org/rec/conf/eccv/ParkYV18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/ParkKY18,
  author       = {Eunhyeok Park and
                  Dongyoung Kim and
                  Sungjoo Yoo},
  editor       = {Murali Annavaram and
                  Timothy Mark Pinkston and
                  Babak Falsafi},
  title        = {Energy-Efficient Neural Network Accelerator Based on Outlier-Aware
                  Low-Precision Computation},
  booktitle    = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018},
  pages        = {688--698},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCA.2018.00063},
  doi          = {10.1109/ISCA.2018.00063},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/ParkKY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/KimKY18,
  author       = {Dongyoung Kim and
                  Soobeom Kim and
                  Sungjoo Yoo},
  title        = {{FPGA} Prototyping of Low-Precision Zero-Skipping Accelerator for
                  Neural Networks},
  booktitle    = {2018 International Symposium on Rapid System Prototyping, {RSP} 2018,
                  Torino, Italy, October 4-5, 2018},
  pages        = {104--110},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/RSP.2018.8632001},
  doi          = {10.1109/RSP.2018.8632001},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/KimKY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1804-07802,
  author       = {Eunhyeok Park and
                  Sungjoo Yoo and
                  Peter Vajda},
  title        = {Value-aware Quantization for Training and Inference of Neural Networks},
  journal      = {CoRR},
  volume       = {abs/1804.07802},
  year         = {2018},
  url          = {http://arxiv.org/abs/1804.07802},
  eprinttype    = {arXiv},
  eprint       = {1804.07802},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1804-07802.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1811-09886,
  author       = {Jongsoo Park and
                  Maxim Naumov and
                  Protonu Basu and
                  Summer Deng and
                  Aravind Kalaiah and
                  Daya Shanker Khudia and
                  James Law and
                  Parth Malani and
                  Andrey Malevich and
                  Nadathur Satish and
                  Juan Miguel Pino and
                  Martin Schatz and
                  Alexander Sidorov and
                  Viswanath Sivakumar and
                  Andrew Tulloch and
                  Xiaodong Wang and
                  Yiming Wu and
                  Hector Yuen and
                  Utku Diril and
                  Dmytro Dzhulgakov and
                  Kim M. Hazelwood and
                  Bill Jia and
                  Yangqing Jia and
                  Lin Qiao and
                  Vijay Rao and
                  Nadav Rotem and
                  Sungjoo Yoo and
                  Mikhail Smelyanskiy},
  title        = {Deep Learning Inference in Facebook Data Centers: Characterization,
                  Performance Optimizations and Hardware Implications},
  journal      = {CoRR},
  volume       = {abs/1811.09886},
  year         = {2018},
  url          = {http://arxiv.org/abs/1811.09886},
  eprinttype    = {arXiv},
  eprint       = {1811.09886},
  timestamp    = {Mon, 16 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1811-09886.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1812-09818,
  author       = {Eunhyeok Park and
                  Dongyoung Kim and
                  Sungjoo Yoo and
                  Peter Vajda},
  title        = {Precision Highway for Ultra Low-Precision Quantization},
  journal      = {CoRR},
  volume       = {abs/1812.09818},
  year         = {2018},
  url          = {http://arxiv.org/abs/1812.09818},
  eprinttype    = {arXiv},
  eprint       = {1812.09818},
  timestamp    = {Wed, 02 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1812-09818.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pvldb/LeeKYCHNNJ17,
  author       = {Jinho Lee and
                  Heesu Kim and
                  Sungjoo Yoo and
                  Kiyoung Choi and
                  H. Peter Hofstee and
                  Gi{-}Joon Nam and
                  Mark Nutter and
                  Damir A. Jamsek},
  title        = {ExtraV: Boosting Graph Processing Near Storage with a Coherent Accelerator},
  journal      = {Proc. {VLDB} Endow.},
  volume       = {10},
  number       = {12},
  pages        = {1706--1717},
  year         = {2017},
  url          = {http://www.vldb.org/pvldb/vol10/p1706-lee.pdf},
  doi          = {10.14778/3137765.3137776},
  timestamp    = {Wed, 30 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pvldb/LeeKYCHNNJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/KangSY17,
  author       = {Won{-}Kyung Kang and
                  Dongkun Shin and
                  Sungjoo Yoo},
  title        = {Reinforcement Learning-Assisted Garbage Collection to Mitigate Long-Tail
                  Latency in {SSD}},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {16},
  number       = {5s},
  pages        = {134:1--134:20},
  year         = {2017},
  url          = {https://doi.org/10.1145/3126537},
  doi          = {10.1145/3126537},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/KangSY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cvpr/ParkAY17,
  author       = {Eunhyeok Park and
                  Junwhan Ahn and
                  Sungjoo Yoo},
  title        = {Weighted-Entropy-Based Quantization for Deep Neural Networks},
  booktitle    = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition,
                  {CVPR} 2017, Honolulu, HI, USA, July 21-26, 2017},
  pages        = {7197--7205},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/CVPR.2017.761},
  doi          = {10.1109/CVPR.2017.761},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cvpr/ParkAY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SonPAY17,
  author       = {Mungyu Son and
                  Hyunsun Park and
                  Junwhan Ahn and
                  Sungjoo Yoo},
  title        = {Making {DRAM} Stronger Against Row Hammering},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {55:1--55:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062281},
  doi          = {10.1145/3061639.3062281},
  timestamp    = {Tue, 06 Nov 2018 16:58:15 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SonPAY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KimAY17,
  author       = {Dongyoung Kim and
                  Junwhan Ahn and
                  Sungjoo Yoo},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {A novel zero weight/activation-aware hardware architecture of convolutional
                  neural network},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {1462--1467},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927222},
  doi          = {10.23919/DATE.2017.7927222},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KimAY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nvmsa/LeeY17,
  author       = {Taemin Lee and
                  Sungjoo Yoo},
  title        = {An FPGA-based platform for non volatile memory emulation},
  booktitle    = {{IEEE} 6th Non-Volatile Memory Systems and Applications Symposium,
                  {NVMSA} 2017, Hsinchu, Taiwan, August 16-18, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NVMSA.2017.8064466},
  doi          = {10.1109/NVMSA.2017.8064466},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/nvmsa/LeeY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/rsp/2017,
  editor       = {Sungjoo Yoo and
                  Fabiano Hessel and
                  Fr{\'{e}}d{\'{e}}ric Rousseau and
                  Kenneth B. Kent and
                  Kyoungwoo Lee},
  title        = {International Symposium on Rapid System Prototyping, {RSP} 2017, Shortening
                  the Path from Specification to Prototype, October 19-20, 2017, Seoul,
                  South Korea},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3130265},
  doi          = {10.1145/3130265},
  isbn         = {978-1-4503-5418-9},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/2017.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/AhnYC16,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {{AIM:} Energy-Efficient Aggregation Inside the Memory Hierarchy},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {13},
  number       = {4},
  pages        = {34:1--34:24},
  year         = {2016},
  url          = {https://doi.org/10.1145/2994149},
  doi          = {10.1145/2994149},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/AhnYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/AhnYC16,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Prediction Hybrid Cache: An Energy-Efficient {STT-RAM} Cache Architecture},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {3},
  pages        = {940--951},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2015.2435772},
  doi          = {10.1109/TC.2015.2435772},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/AhnYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/SunBWYL16,
  author       = {Zhenyu Sun and
                  Xiuyuan Bi and
                  Wenqing Wu and
                  Sungjoo Yoo and
                  Hai (Helen) Li},
  title        = {Array Organization and Data Management Exploration in Racetrack Memory},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {4},
  pages        = {1041--1054},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2014.2360545},
  doi          = {10.1109/TC.2014.2360545},
  timestamp    = {Wed, 10 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/SunBWYL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcsv/HahmLLY16,
  author       = {Cheul{-}Hee Hahm and
                  Sunggu Lee and
                  Taeyoung Lee and
                  Sungjoo Yoo},
  title        = {Memory Access Scheduling for a Smart {TV}},
  journal      = {{IEEE} Trans. Circuits Syst. Video Technol.},
  volume       = {26},
  number       = {2},
  pages        = {399--411},
  year         = {2016},
  url          = {https://doi.org/10.1109/TCSVT.2015.2389414},
  doi          = {10.1109/TCSVT.2015.2389414},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcsv/HahmLLY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/KimYL16,
  author       = {Youngsik Kim and
                  Sungjoo Yoo and
                  Sunggu Lee},
  title        = {Improving Write Performance by Controlling Target Resistance Distributions
                  in {MLC} {PRAM}},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {21},
  number       = {2},
  pages        = {23:1--23:27},
  year         = {2016},
  url          = {https://doi.org/10.1145/2820610},
  doi          = {10.1145/2820610},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/KimYL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LeeLPAYWL16,
  author       = {Sungkwang Lee and
                  Taemin Lee and
                  Hyunsun Park and
                  Junwhan Ahn and
                  Sungjoo Yoo and
                  Youjip Won and
                  Sunggu Lee},
  title        = {Differential Write-Conscious Software Design on Phase-Change Memory:
                  An SQLite Case Study},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {21},
  number       = {3},
  pages        = {47:1--47:25},
  year         = {2016},
  url          = {https://doi.org/10.1145/2842613},
  doi          = {10.1145/2842613},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/LeeLPAYWL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/AhnYC16,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Low-Power Hybrid Memory Cubes With Link Power Management and Two-Level
                  Prefetching},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {24},
  number       = {2},
  pages        = {453--464},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVLSI.2015.2420315},
  doi          = {10.1109/TVLSI.2015.2420315},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/AhnYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KooSPKPRYK16,
  author       = {Jongeun Koo and
                  Eunwoo Song and
                  Eunhyeok Park and
                  Dongyoung Kim and
                  Junki Park and
                  Sungju Ryu and
                  Sungjoo Yoo and
                  Jae{-}Joon Kim},
  title        = {Area-efficient one-cycle correction scheme for timing errors in flip-flop
                  based pipelines},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama,
                  Japan, November 7-9, 2016},
  pages        = {137--140},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASSCC.2016.7844154},
  doi          = {10.1109/ASSCC.2016.7844154},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KooSPKPRYK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/ParkKAY16,
  author       = {Hyunsun Park and
                  Dongyoung Kim and
                  Junwhan Ahn and
                  Sungjoo Yoo},
  title        = {Zero and data reuse-aware fast convolution for deep neural networks
                  on {GPU}},
  booktitle    = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis, {CODES} 2016,
                  Pittsburgh, Pennsylvania, USA, October 1-7, 2016},
  pages        = {33:1--33:10},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968456.2968476},
  doi          = {10.1145/2968456.2968476},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/ParkKAY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeY16a,
  author       = {Taemin Lee and
                  Sungjoo Yoo},
  title        = {A dual-retention time architecture towards secure and high performance
                  {STT-RAM} main memory subsystem},
  booktitle    = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea,
                  October 23-26, 2016},
  pages        = {313--314},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISOCC.2016.7799803},
  doi          = {10.1109/ISOCC.2016.7799803},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeY16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeY16b,
  author       = {Taemin Lee and
                  Sungjoo Yoo},
  title        = {Selective refresh to avoid read disturb errors in {STT-RAM} main memory},
  booktitle    = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea,
                  October 23-26, 2016},
  pages        = {315--316},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ISOCC.2016.7799804},
  doi          = {10.1109/ISOCC.2016.7799804},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeY16b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:journals/corr/KimPYCYS15,
  author       = {Yong{-}Deok Kim and
                  Eunhyeok Park and
                  Sungjoo Yoo and
                  Taelim Choi and
                  Lu Yang and
                  Dongjun Shin},
  editor       = {Yoshua Bengio and
                  Yann LeCun},
  title        = {Compression of Deep Convolutional Neural Networks for Fast and Low
                  Power Mobile Applications},
  booktitle    = {4th International Conference on Learning Representations, {ICLR} 2016,
                  San Juan, Puerto Rico, May 2-4, 2016, Conference Track Proceedings},
  year         = {2016},
  url          = {http://arxiv.org/abs/1511.06530},
  timestamp    = {Thu, 25 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/KimPYCYS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/ParkLY15,
  author       = {Junyoung Park and
                  Sunggu Lee and
                  Sungjoo Yoo},
  title        = {Time slot assignment for convergecast in wireless sensor networks},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {83},
  pages        = {70--82},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.jpdc.2015.05.004},
  doi          = {10.1016/J.JPDC.2015.05.004},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jpdc/ParkLY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tce/KimPYL15,
  author       = {Chanha Kim and
                  Chanik Park and
                  Sungjoo Yoo and
                  Sunggu Lee},
  title        = {Extending lifetime of flash memory using strong error correction coding},
  journal      = {{IEEE} Trans. Consumer Electron.},
  volume       = {61},
  number       = {2},
  pages        = {206--214},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCE.2015.7150595},
  doi          = {10.1109/TCE.2015.7150595},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tce/KimPYL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/KimYL15,
  author       = {Dongki Kim and
                  Sungjoo Yoo and
                  Sunggu Lee},
  title        = {Hybrid Main Memory for High Bandwidth Multi-Core System},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {1},
  number       = {3},
  pages        = {138--149},
  year         = {2015},
  url          = {https://doi.org/10.1109/TMSCS.2015.2498549},
  doi          = {10.1109/TMSCS.2015.2498549},
  timestamp    = {Wed, 02 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmscs/KimYL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YunLY15,
  author       = {Joosung Yun and
                  Sunggu Lee and
                  Sungjoo Yoo},
  title        = {Dynamic Wear Leveling for Phase-Change Memories With Endurance Variations},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {23},
  number       = {9},
  pages        = {1604--1615},
  year         = {2015},
  url          = {https://doi.org/10.1109/TVLSI.2014.2350073},
  doi          = {10.1109/TVLSI.2014.2350073},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YunLY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/SonAY15,
  author       = {Mungyu Son and
                  Junwhan Ahn and
                  Sungjoo Yoo},
  editor       = {Gabriela Nicolescu and
                  Andreas Gerstlauer},
  title        = {A tiny-capacitor-backed non-volatile buffer to reduce storage writes
                  in smartphones},
  booktitle    = {2015 International Conference on Hardware/Software Codesign and System
                  Synthesis, {CODES+ISSS} 2015, Amsterdam, Netherlands, October 4-9,
                  2015},
  pages        = {21--29},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CODESISSS.2015.7331364},
  doi          = {10.1109/CODESISSS.2015.7331364},
  timestamp    = {Wed, 16 Oct 2019 14:14:48 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/SonAY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/ParkKKKKYY15,
  author       = {Eunhyeok Park and
                  Dongyoung Kim and
                  Soobeom Kim and
                  Yong{-}Deok Kim and
                  Gunhee Kim and
                  Sungroh Yoon and
                  Sungjoo Yoo},
  editor       = {Gabriela Nicolescu and
                  Andreas Gerstlauer},
  title        = {Big/little deep neural network for ultra low power inference},
  booktitle    = {2015 International Conference on Hardware/Software Codesign and System
                  Synthesis, {CODES+ISSS} 2015, Amsterdam, Netherlands, October 4-9,
                  2015},
  pages        = {124--132},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CODESISSS.2015.7331375},
  doi          = {10.1109/CODESISSS.2015.7331375},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/ParkKKKKYY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SonLKYL15,
  author       = {Mungyu Son and
                  Sungkwang Lee and
                  Kyungho Kim and
                  Sungjoo Yoo and
                  Sunggu Lee},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {A small non-volatile write buffer to reduce storage writes in smartphones},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {713--718},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755916},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/SonLKYL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ParkAHYL15,
  author       = {Eunhyeok Park and
                  Junwhan Ahn and
                  Sungpack Hong and
                  Sungjoo Yoo and
                  Sunggu Lee},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Memory fast-forward: a low cost special function unit to enhance energy
                  efficiency in {GPU} for big data processing},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {1341--1346},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2757125},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ParkAHYL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/AhnHYMC15,
  author       = {Junwhan Ahn and
                  Sungpack Hong and
                  Sungjoo Yoo and
                  Onur Mutlu and
                  Kiyoung Choi},
  editor       = {Deborah T. Marr and
                  David H. Albonesi},
  title        = {A scalable processing-in-memory accelerator for parallel graph processing},
  booktitle    = {Proceedings of the 42nd Annual International Symposium on Computer
                  Architecture, Portland, OR, USA, June 13-17, 2015},
  pages        = {105--117},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2749469.2750386},
  doi          = {10.1145/2749469.2750386},
  timestamp    = {Fri, 09 Jul 2021 15:51:20 +0200},
  biburl       = {https://dblp.org/rec/conf/isca/AhnHYMC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/AhnYMC15,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Onur Mutlu and
                  Kiyoung Choi},
  editor       = {Deborah T. Marr and
                  David H. Albonesi},
  title        = {PIM-enabled instructions: a low-overhead, locality-aware processing-in-memory
                  architecture},
  booktitle    = {Proceedings of the 42nd Annual International Symposium on Computer
                  Architecture, Portland, OR, USA, June 13-17, 2015},
  pages        = {336--348},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2749469.2750385},
  doi          = {10.1145/2749469.2750385},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/AhnYMC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/ParkAPY15,
  author       = {Hyunsun Park and
                  Junwhan Ahn and
                  Eunhyeok Park and
                  Sungjoo Yoo},
  title        = {Locality-aware vertex scheduling for GPU-based graph computation},
  booktitle    = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015},
  pages        = {195--200},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSI-SoC.2015.7314415},
  doi          = {10.1109/VLSI-SOC.2015.7314415},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/ParkAPY15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/ParkKYP15,
  author       = {Hyunsun Park and
                  Chanha Kim and
                  Sungjoo Yoo and
                  Chanik Park},
  title        = {Filtering dirty data in {DRAM} to reduce {PRAM} writes},
  booktitle    = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015},
  pages        = {319--324},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSI-SoC.2015.7314437},
  doi          = {10.1109/VLSI-SOC.2015.7314437},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/ParkKYP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/ParkKBLYC14,
  author       = {Sang{-}Hoon Park and
                  Dong{-}gun Kim and
                  Kwanhu Bang and
                  Hyuk{-}Jun Lee and
                  Sungjoo Yoo and
                  Eui{-}Young Chung},
  title        = {An Adaptive Idle-Time Exploiting Method for Low Latency {NAND} Flash-Based
                  Storage Devices},
  journal      = {{IEEE} Trans. Computers},
  volume       = {63},
  number       = {5},
  pages        = {1085--1096},
  year         = {2014},
  url          = {https://doi.org/10.1109/TC.2012.281},
  doi          = {10.1109/TC.2012.281},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/ParkKBLYC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tits/JeonPLYJ14,
  author       = {Byeongchan Jeon and
                  Gyuro Park and
                  Junseok Lee and
                  Sungjoo Yoo and
                  Hong Jeong},
  title        = {A Memory-Efficient Architecture of Full {HD} Around View Monitor Systems},
  journal      = {{IEEE} Trans. Intell. Transp. Syst.},
  volume       = {15},
  number       = {6},
  pages        = {2683--2695},
  year         = {2014},
  url          = {https://doi.org/10.1109/TITS.2014.2325215},
  doi          = {10.1109/TITS.2014.2325215},
  timestamp    = {Tue, 24 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tits/JeonPLYJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/AhnYC14,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Dynamic Power Management of Off-Chip Links for Hybrid Memory Cubes},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {139:1--139:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593128},
  doi          = {10.1145/2593069.2593128},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/AhnYC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KimKKYL14,
  author       = {Hayoung Kim and
                  Dongyoung Kim and
                  Jae{-}Joon Kim and
                  Sungjoo Yoo and
                  Sunggu Lee},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Coarse-grained Bubble Razor to exploit the potential of two-phase
                  transparent latch designs},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.379},
  doi          = {10.7873/DATE.2014.379},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KimKKYL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ParkYLL14,
  author       = {Eunhyuk Park and
                  Sungjoo Yoo and
                  Sunggu Lee and
                  Hai Helen Li},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Accelerating graph computation with racetrack memory and pointer-assisted
                  graph representation},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--4},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.172},
  doi          = {10.7873/DATE.2014.172},
  timestamp    = {Tue, 01 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ParkYLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/AhnYC14,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {{DASCA:} Dead Write Prediction Assisted {STT-RAM} Cache Architecture},
  booktitle    = {20th {IEEE} International Symposium on High Performance Computer Architecture,
                  {HPCA} 2014, Orlando, FL, USA, February 15-19, 2014},
  pages        = {25--36},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/HPCA.2014.6835944},
  doi          = {10.1109/HPCA.2014.6835944},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hpca/AhnYC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/LeeKPYL14,
  author       = {Taemin Lee and
                  Dongki Kim and
                  Hyunsun Park and
                  Sungjoo Yoo and
                  Sunggu Lee},
  title        = {FPGA-based prototyping systems for emerging memory technologies},
  booktitle    = {25nd {IEEE} International Symposium on Rapid System Prototyping, {RSP}
                  2014, New Delhi, India, October 16-17, 2014},
  pages        = {115--120},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/RSP.2014.6966901},
  doi          = {10.1109/RSP.2014.6966901},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/LeeKPYL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/KimYL13,
  author       = {Dongki Kim and
                  Sungjoo Yoo and
                  Sunggu Lee},
  title        = {A network congestion-aware memory subsystem for manycore},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {12},
  number       = {4},
  pages        = {110:1--110:18},
  year         = {2013},
  url          = {https://doi.org/10.1145/2485984.2485998},
  doi          = {10.1145/2485984.2485998},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/KimYL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChoiYLAL13,
  author       = {Young{-}Geun Choi and
                  Sungjoo Yoo and
                  Sunggu Lee and
                  Jung Ho Ahn and
                  Kangmin Lee},
  title        = {{MAEPER:} Matching Access and Error Patterns With Error-Free Resource
                  for Low Vcc {L1} Cache},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {21},
  number       = {6},
  pages        = {1013--1026},
  year         = {2013},
  url          = {https://doi.org/10.1109/TVLSI.2012.2202931},
  doi          = {10.1109/TVLSI.2012.2202931},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChoiYLAL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/AhnYC13,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Selectively protecting error-correcting code for area-efficient and
                  reliable {STT-RAM} caches},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {285--290},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509610},
  doi          = {10.1109/ASPDAC.2013.6509610},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/AhnYC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccel/KangCYK13,
  author       = {Suk{-}Ju Kang and
                  Sung In Cho and
                  Sungjoo Yoo and
                  Young Hwan Kim},
  title        = {Multi-histogram based scene change detection for frame rate up-conversion},
  booktitle    = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013,
                  Las Vegas, NV, USA, January 11-14, 2013},
  pages        = {332--333},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCE.2013.6486916},
  doi          = {10.1109/ICCE.2013.6486916},
  timestamp    = {Wed, 16 Oct 2019 14:14:51 +0200},
  biburl       = {https://dblp.org/rec/conf/iccel/KangCYK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AhnYC13,
  author       = {Junwhan Ahn and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  editor       = {Pai H. Chou and
                  Ru Huang and
                  Yuan Xie and
                  Tanay Karnik},
  title        = {Write intensity prediction for energy-efficient non-volatile caches},
  booktitle    = {International Symposium on Low Power Electronics and Design (ISLPED),
                  Beijing, China, September 4-6, 2013},
  pages        = {223--228},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISLPED.2013.6629298},
  doi          = {10.1109/ISLPED.2013.6629298},
  timestamp    = {Wed, 16 Oct 2019 14:14:56 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AhnYC13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/JangLY12,
  author       = {Ungjin Jang and
                  Sunggu Lee and
                  Sungjoo Yoo},
  title        = {Optimal wake-up scheduling of data gathering trees for wireless sensor
                  networks},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {72},
  number       = {4},
  pages        = {536--546},
  year         = {2012},
  url          = {https://doi.org/10.1016/j.jpdc.2012.01.008},
  doi          = {10.1016/J.JPDC.2012.01.008},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jpdc/JangLY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/YooYC12,
  author       = {Jun{-}hee Yoo and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Active Memory Processor for Network-on-Chip-Based Architecture},
  journal      = {{IEEE} Trans. Computers},
  volume       = {61},
  number       = {5},
  pages        = {622--635},
  year         = {2012},
  url          = {https://doi.org/10.1109/TC.2011.66},
  doi          = {10.1109/TC.2011.66},
  timestamp    = {Sat, 20 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/YooYC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ParkYL12,
  author       = {Hyunsun Park and
                  Sungjoo Yoo and
                  Sunggu Lee},
  title        = {A Multistep Tag Comparison Method for a Low-Power {L2} Cache},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {4},
  pages        = {559--572},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2011.2177458},
  doi          = {10.1109/TCAD.2011.2177458},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ParkYL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KwonYLP12,
  author       = {Suknam Kwon and
                  Sungjoo Yoo and
                  Sunggu Lee and
                  Jinpyo Park},
  title        = {Optimizing Video Application Design for Phase-Change RAM-Based Main
                  Memory},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {20},
  number       = {11},
  pages        = {2011--2019},
  year         = {2012},
  url          = {https://doi.org/10.1109/TVLSI.2011.2165974},
  doi          = {10.1109/TVLSI.2011.2165974},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KwonYLP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KimLCKWYL12,
  author       = {Dongki Kim and
                  Sungkwang Lee and
                  Jaewoong Chung and
                  Daehyun Kim and
                  Dong Hyuk Woo and
                  Sungjoo Yoo and
                  Sunggu Lee},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Hybrid DRAM/PRAM-based main memory for single-chip {CPU/GPU}},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {888--896},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228519},
  doi          = {10.1145/2228360.2228519},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KimLCKWYL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KimYL12,
  author       = {Youngsik Kim and
                  Sungjoo Yoo and
                  Sunggu Lee},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Write performance improvement by hiding {R} drift latency in phase-change
                  {RAM}},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {897--906},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228520},
  doi          = {10.1145/2228360.2228520},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KimYL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KwonKKYL12,
  author       = {Suknam Kwon and
                  Dongki Kim and
                  Youngsik Kim and
                  Sungjoo Yoo and
                  Sunggu Lee},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {A case study on the application of real phase-change {RAM} to main
                  memory subsystem},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {264--267},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176474},
  doi          = {10.1109/DATE.2012.6176474},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/KwonKKYL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YunLY12,
  author       = {Joosung Yun and
                  Sunggu Lee and
                  Sungjoo Yoo},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Bloom filter-based dynamic wear leveling for phase-change {RAM}},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1513--1518},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176713},
  doi          = {10.1109/DATE.2012.6176713},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YunLY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KimYK11,
  author       = {Jungsoo Kim and
                  Sungjoo Yoo and
                  Chong{-}Min Kyung},
  title        = {Program Phase-Aware Dynamic Voltage Scaling Under Variable Computational
                  Workload and Memory Stall Environment},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {1},
  pages        = {110--123},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2010.2068630},
  doi          = {10.1109/TCAD.2010.2068630},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KimYK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KangKYK11,
  author       = {Kyungsu Kang and
                  Jungsoo Kim and
                  Sungjoo Yoo and
                  Chong{-}Min Kyung},
  title        = {Runtime Power Management of 3-D Multi-Core Architectures Under Peak
                  Power and Temperature Constraints},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {6},
  pages        = {905--918},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2010.2101371},
  doi          = {10.1109/TCAD.2010.2101371},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KangKYK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ParkYL11,
  author       = {Hyunsun Park and
                  Sungjoo Yoo and
                  Sunggu Lee},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Power management of hybrid DRAM/PRAM-based main memory},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {59--64},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024738},
  doi          = {10.1145/2024724.2024738},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ParkYL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KimKY11,
  author       = {Gwangsun Kim and
                  John Kim and
                  Sungjoo Yoo},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {FlexiBuffer: reducing leakage power in on-chip network routers},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {936--941},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024932},
  doi          = {10.1145/2024724.2024932},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KimKY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChoiYLA11,
  author       = {Young{-}Geun Choi and
                  Sungjoo Yoo and
                  Sunggu Lee and
                  Jung Ho Ahn},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Matching cache access behavior and bit error pattern for high performance
                  low Vcc {L1} cache},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {978--983},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024940},
  doi          = {10.1145/2024724.2024940},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChoiYLA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ParkYL11,
  author       = {Hyunsun Park and
                  Sungjoo Yoo and
                  Sunggu Lee},
  title        = {A novel tag access scheme for low power {L2} cache},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {655--660},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763108},
  doi          = {10.1109/DATE.2011.5763108},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ParkYL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KimYLAJ11,
  author       = {Dongki Kim and
                  Sungjoo Yoo and
                  Sunggu Lee and
                  Jung Ho Ahn and
                  Hyunuk Jung},
  title        = {A quantitative analysis of performance benefits of 3D die stacking
                  on mobile and embedded SoC},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {1333--1338},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763214},
  doi          = {10.1109/DATE.2011.5763214},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/KimYLAJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KangJYK11,
  author       = {Kyungsu Kang and
                  Jongpil Jung and
                  Sungjoo Yoo and
                  Chong{-}Min Kyung},
  title        = {Maximizing throughput of temperature-constrained multi-core systems
                  with 3D-stacked cache memory},
  booktitle    = {Proceedings of the 12th International Symposium on Quality Electronic
                  Design, {ISQED} 2011, Santa Clara, California, USA, 14-16 March 2011},
  pages        = {577--582},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISQED.2011.5770786},
  doi          = {10.1109/ISQED.2011.5770786},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/KangJYK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KangKYK10,
  author       = {Kyungsu Kang and
                  Jungsoo Kim and
                  Sungjoo Yoo and
                  Chong{-}Min Kyung},
  title        = {Temperature-Aware Integrated {DVFS} and Power Gating for Executing
                  Tasks With Runtime Distribution},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {29},
  number       = {9},
  pages        = {1381--1394},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCAD.2010.2059290},
  doi          = {10.1109/TCAD.2010.2059290},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KangKYK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcsv/KangYK10,
  author       = {Suk{-}Ju Kang and
                  Sungjoo Yoo and
                  Young Hwan Kim},
  title        = {Dual Motion Estimation for Frame Rate Up-Conversion},
  journal      = {{IEEE} Trans. Circuits Syst. Video Technol.},
  volume       = {20},
  number       = {12},
  pages        = {1909--1914},
  year         = {2010},
  url          = {https://doi.org/10.1109/TCSVT.2010.2087832},
  doi          = {10.1109/TCSVT.2010.2087832},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcsv/KangYK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/KimLYK10,
  author       = {Jungsoo Kim and
                  Younghoon Lee and
                  Sungjoo Yoo and
                  Chong{-}Min Kyung},
  title        = {An analytical dynamic scaling of supply voltage and body bias exploiting
                  memory stall time variation},
  booktitle    = {Proceedings of the 15th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010},
  pages        = {575--580},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASPDAC.2010.5419820},
  doi          = {10.1109/ASPDAC.2010.5419820},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/KimLYK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icmcs/KimKKNYK10,
  author       = {Jungsoo Kim and
                  Jaemoon Kim and
                  Giwon Kim and
                  Sangkwon Na and
                  Sungjoo Yoo and
                  Chong{-}Min Kyung},
  title        = {Event statistics and criticality-aware bitrate allocation to minimize
                  energy consumption of memory-constrained wireless surveillance system},
  booktitle    = {Proceedings of the 2010 {IEEE} International Conference on Multimedia
                  and Expo, {ICME} 2010, 19-23 July 2010, Singapore},
  pages        = {7--12},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICME.2010.5583856},
  doi          = {10.1109/ICME.2010.5583856},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icmcs/KimKKNYK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/KimYL10,
  author       = {Dongki Kim and
                  Sungjoo Yoo and
                  Sunggu Lee},
  title        = {A Network Congestion-Aware Memory Controller},
  booktitle    = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip,
                  Grenoble, France, May 3-6, 2010},
  pages        = {257--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/NOCS.2010.36},
  doi          = {10.1109/NOCS.2010.36},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/KimYL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KimOYK09,
  author       = {Jungsoo Kim and
                  Seungyong Oh and
                  Sungjoo Yoo and
                  Chong{-}Min Kyung},
  title        = {An Analytical Dynamic Scaling of Supply Voltage and Body Bias Based
                  on Parallelism-Aware Workload and Runtime Distribution},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {4},
  pages        = {568--581},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2013993},
  doi          = {10.1109/TCAD.2009.2013993},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KimOYK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/JunYC09,
  author       = {Minje Jun and
                  Sungjoo Yoo and
                  Eui{-}Young Chung},
  title        = {Topology Synthesis of Cascaded Crossbar Switches},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {6},
  pages        = {926--930},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2017079},
  doi          = {10.1109/TCAD.2009.2017079},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/JunYC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YooYC09,
  author       = {Jun{-}hee Yoo and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Topology/Floorplan/Pipeline Co-Design of Cascaded Crossbar Bus},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {8},
  pages        = {1034--1047},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2009.2017442},
  doi          = {10.1109/TVLSI.2009.2017442},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YooYC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YooYC09,
  author       = {Jun{-}hee Yoo and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Multiprocessor System-on-Chip designs with active memory processors
                  for higher memory efficiency},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {806--811},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630118},
  doi          = {10.1145/1629911.1630118},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YooYC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KimYK09,
  author       = {Jungsoo Kim and
                  Sungjoo Yoo and
                  Chong{-}Min Kyung},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {Program phase and runtime distribution-aware online {DVFS} for combined
                  Vdd/Vbb scaling},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {417--422},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090699},
  doi          = {10.1109/DATE.2009.5090699},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KimYK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KwonYUJ09,
  author       = {Woo{-}Cheol Kwon and
                  Sungjoo Yoo and
                  Junhyung Um and
                  Seh{-}Woong Jeong},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {In-network reorder buffer to improve overall NoC performance while
                  resolving the in-order requirement problem},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {1058--1063},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090821},
  doi          = {10.1109/DATE.2009.5090821},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/KwonYUJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/seus/ParkYLP09,
  author       = {Jinha Park and
                  Sungjoo Yoo and
                  Sunggu Lee and
                  Chanik Park},
  editor       = {Sunggu Lee and
                  Priya Narasimhan},
  title        = {Power Modeling of Solid State Disk for Dynamic Power Management Policy
                  Design in Embedded Systems},
  booktitle    = {Software Technologies for Embedded and Ubiquitous Systems, 7th {IFIP}
                  {WG} 10.2 International Workshop, {SEUS} 2009, Newport Beach, CA,
                  USA, November 16-18, 2009, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5860},
  pages        = {24--35},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-10265-3\_3},
  doi          = {10.1007/978-3-642-10265-3\_3},
  timestamp    = {Tue, 14 May 2019 10:00:52 +0200},
  biburl       = {https://dblp.org/rec/conf/seus/ParkYLP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/EoYC08,
  author       = {Soo{-}Kwan Eo and
                  Sungjoo Yoo and
                  Kyu{-}Myung Choi},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {An industrial perspective of power-aware reliable SoC design},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {555--557},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4484012},
  doi          = {10.1109/ASPDAC.2008.4484012},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/EoYC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JunYC08,
  author       = {Minje Jun and
                  Sungjoo Yoo and
                  Eui{-}Young Chung},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {Mixed integer linear programming-based optimal topology synthesis
                  of cascaded crossbar switches},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {583--588},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4484019},
  doi          = {10.1109/ASPDAC.2008.4484019},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/JunYC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KwonYHMCE08,
  author       = {Woo{-}Cheol Kwon and
                  Sungjoo Yoo and
                  Sung{-}Min Hong and
                  Byeong Min and
                  Kyu{-}Myung Choi and
                  Soo{-}Kwan Eo},
  editor       = {Limor Fix},
  title        = {A practical approach of memory access parallelization to exploit multiple
                  off-chip {DDR} memories},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {447--452},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391585},
  doi          = {10.1145/1391469.1391585},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KwonYHMCE08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HongYBCEK08,
  author       = {Sungpack Hong and
                  Sungjoo Yoo and
                  Byeong Bin and
                  Kyu{-}Myung Choi and
                  Soo{-}Kwan Eo and
                  Taehwan Kim},
  editor       = {Donatella Sciuto},
  title        = {Dynamic Voltage Scaling of Supply and Body Bias Exploiting Software
                  Runtime Distribution},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {242--247},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484693},
  doi          = {10.1109/DATE.2008.4484693},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HongYBCEK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KwonHYMCE08,
  author       = {Woo{-}Cheol Kwon and
                  Sung{-}Min Hong and
                  Sungjoo Yoo and
                  Byeong Min and
                  Kyu{-}Myung Choi and
                  Soo{-}Kwan Eo},
  editor       = {Donatella Sciuto},
  title        = {An Open-Loop Flow Control Scheme Based on the Accurate Global Information
                  of On-Chip Communication},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {1244--1249},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484849},
  doi          = {10.1109/DATE.2008.4484849},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/KwonHYMCE08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeYC08,
  author       = {Dongwook Lee and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  editor       = {Vijaykrishnan Narayanan and
                  C. P. Ravikumar and
                  J{\"{o}}rg Henkel and
                  Ali Keshavarzi and
                  Vojin G. Oklobdzija and
                  Barry M. Pangrle},
  title        = {Entry control in network-on-chip for memory power reduction},
  booktitle    = {Proceedings of the 2008 International Symposium on Low Power Electronics
                  and Design, 2008, Bangalore, India, August 11-13, 2008},
  pages        = {171--176},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1393921.1393967},
  doi          = {10.1145/1393921.1393967},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeYC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/ChoZYJC07,
  author       = {Youngchul Cho and
                  Nacer{-}Eddine Zergainoh and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya and
                  Kiyoung Choi},
  title        = {Scheduling with accurate communication delay model and scheduler implementation
                  for multiprocessor system-on-chip},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {11},
  number       = {2-3},
  pages        = {167--191},
  year         = {2007},
  url          = {https://doi.org/10.1007/s10617-007-9004-9},
  doi          = {10.1007/S10617-007-9004-9},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/ChoZYJC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/JungYC07,
  author       = {Jinyong Jung and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Fast cycle-approximate MPSoC simulation based on synchronization time-point
                  prediction},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {11},
  number       = {4},
  pages        = {223--247},
  year         = {2007},
  url          = {https://doi.org/10.1007/s10617-007-9010-y},
  doi          = {10.1007/S10617-007-9010-Y},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/JungYC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/YooLYC07,
  author       = {Jun{-}hee Yoo and
                  Dongwook Lee and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Communication Architecture Synthesis of Cascaded Bus Matrix},
  booktitle    = {Proceedings of the 12th Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007},
  pages        = {171--177},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ASPDAC.2007.357981},
  doi          = {10.1109/ASPDAC.2007.357981},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/YooLYC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LeeKYYCCKE06,
  author       = {Ikhwan Lee and
                  Hyunsuk Kim and
                  Peng Yang and
                  Sungjoo Yoo and
                  Eui{-}Young Chung and
                  Kyu{-}Myung Choi and
                  Jeong{-}Taek Kong and
                  Soo{-}Kwan Eo},
  editor       = {Fumiyasu Hirose},
  title        = {PowerV\emph{i}P: Soc power estimation framework at transaction level},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {551--558},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594743},
  doi          = {10.1109/ASPDAC.2006.1594743},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LeeKYYCCKE06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/HongYLLNYHSKKJCKE06,
  author       = {Sungpack Hong and
                  Sungjoo Yoo and
                  Sheayun Lee and
                  Sangwoo Lee and
                  Hye Jeong Nam and
                  Bum{-}Seok Yoo and
                  Jaehyung Hwang and
                  Donghyun Song and
                  Janghwan Kim and
                  Jeongeun Kim and
                  HoonSang Jin and
                  Kyu{-}Myung Choi and
                  Jeong{-}Taek Kong and
                  Soo{-}Kwan Eo},
  editor       = {Reinaldo A. Bergamaschi and
                  Kiyoung Choi},
  title        = {Creation and utilization of a virtual platform for embedded software
                  optimization: : an industrial case study},
  booktitle    = {Proceedings of the 4th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {235--240},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176254.1176311},
  doi          = {10.1145/1176254.1176311},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/HongYLLNYHSKKJCKE06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HongYJCKE06,
  author       = {Sungpack Hong and
                  Sungjoo Yoo and
                  HoonSang Jin and
                  Kyu{-}Myung Choi and
                  Jeong{-}Taek Kong and
                  Soo{-}Kwan Eo},
  editor       = {Soha Hassoun},
  title        = {Runtime distribution-aware dynamic voltage scaling},
  booktitle    = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006,
                  San Jose, CA, USA, November 5-9, 2006},
  pages        = {587--594},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1233501.1233622},
  doi          = {10.1145/1233501.1233622},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HongYJCKE06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijes/BacivarovBYJ05,
  author       = {Iuliana Bacivarov and
                  Aimen Bouchhima and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya},
  title        = {ChronoSym: a new approach for fast and accurate SoC cosimulation},
  journal      = {Int. J. Embed. Syst.},
  volume       = {1},
  number       = {1/2},
  pages        = {103--111},
  year         = {2005},
  url          = {https://doi.org/10.1504/IJES.2005.008812},
  doi          = {10.1504/IJES.2005.008812},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijes/BacivarovBYJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ChoYCZJ05,
  author       = {Youngchul Cho and
                  Sungjoo Yoo and
                  Kiyoung Choi and
                  Nacer{-}Eddine Zergainoh and
                  Ahmed Amine Jerraya},
  editor       = {Tingao Tang},
  title        = {Scheduler implementation in {MP} SoC design},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {151--156},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120793},
  doi          = {10.1145/1120725.1120793},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ChoYCZJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BouchhimaYJ04,
  author       = {Aimen Bouchhima and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya},
  editor       = {Masaharu Imai},
  title        = {Fast and accurate timed execution of high level embedded software
                  using {HW/SW} interface simulation model},
  booktitle    = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation:
                  Electronic Design and Solution Fair 2004, Yokohama, Japan, January
                  27-30, 2004},
  pages        = {469--474},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.101},
  doi          = {10.1109/ASPDAC.2004.101},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/BouchhimaYJ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YoussefYSPJ04,
  author       = {Mohamed{-}Wassim Youssef and
                  Sungjoo Yoo and
                  Arif Sasongko and
                  Yanick Paviot and
                  Ahmed Amine Jerraya},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {Debugging {HW/SW} interface for MPSoC: video encoder system design
                  case study},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {908--913},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996808},
  doi          = {10.1145/996566.996808},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YoussefYSPJ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YooYBJD04,
  author       = {Sungjoo Yoo and
                  Mohamed{-}Wassim Youssef and
                  Aimen Bouchhima and
                  Ahmed Amine Jerraya and
                  Mario Diaz{-}Nava},
  title        = {Multi-Processor SoC Design Methodology Using a Concept of Two-Layer
                  Hardware-Dependent Software},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {1382--1383},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1269098},
  doi          = {10.1109/DATE.2004.1269098},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YooYBJD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/AhnKLPYCC03,
  author       = {Yongjin Ahn and
                  Daehong Kim and
                  Sunghyun Lee and
                  Sanggyu Park and
                  Sungjoo Yoo and
                  Kiyoung Choi and
                  Soo{-}Ik Chae},
  title        = {An Efficient Simulation Environment and Simulation Techniques for
                  Bluetooth Device Design},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {8},
  number       = {2-3},
  pages        = {119--138},
  year         = {2003},
  url          = {https://doi.org/10.1023/B:DAEM.0000003958.67283.86},
  doi          = {10.1023/B:DAEM.0000003958.67283.86},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/AhnKLPYCC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YooJ03,
  author       = {Sungjoo Yoo and
                  Ahmed Amine Jerraya},
  title        = {Introduction to Hardware Abstraction Layers for SoC},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10336--10337},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10203},
  doi          = {10.1109/DATE.2003.10203},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YooJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YooBBPJ03,
  author       = {Sungjoo Yoo and
                  Iuliana Bacivarov and
                  Aimen Bouchhima and
                  Yanick Paviot and
                  Ahmed Amine Jerraya},
  title        = {Building Fast and Accurate {SW} Simulation Models Based on Hardware
                  Abstraction Layer and Simulation Environment Abstraction Layer},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10550--10555},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10103},
  doi          = {10.1109/DATE.2003.10103},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YooBBPJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChoLYCZ03,
  author       = {Youngchul Cho and
                  Ganghee Lee and
                  Sungjoo Yoo and
                  Kiyoung Choi and
                  Nacer{-}Eddine Zergainoh},
  title        = {Scheduling and Timing Analysis of {HW/SW} On-Chip Communication in
                  {MP} SoC Design},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {20132--20137},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DATE.2003.1186684},
  doi          = {10.1109/DATE.2003.1186684},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChoLYCZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/03/ChoLCYZ03,
  author       = {Youngchul Cho and
                  Ganghee Lee and
                  Kiyoung Choi and
                  Sungjoo Yoo and
                  Nacer{-}Eddine Zergainoh},
  editor       = {Ahmed Amine Jerraya and
                  Sungjoo Yoo and
                  Diederik Verkest and
                  Norbert Wehn},
  title        = {Scheduling and Timing Analysis of {HW/SW} On-Chip Communication in
                  {MP} SoC Design},
  booktitle    = {Embedded Software for SoC},
  pages        = {125--136},
  publisher    = {Kluwer / Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/0-306-48709-8\_10},
  doi          = {10.1007/0-306-48709-8\_10},
  timestamp    = {Thu, 04 Jul 2019 16:02:30 +0200},
  biburl       = {https://dblp.org/rec/books/sp/03/ChoLCYZ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/03/YooJ03,
  author       = {Sungjoo Yoo and
                  Ahmed Amine Jerraya},
  editor       = {Ahmed Amine Jerraya and
                  Sungjoo Yoo and
                  Diederik Verkest and
                  Norbert Wehn},
  title        = {Introduction to Hardware Abstraction Layers for SoC},
  booktitle    = {Embedded Software for SoC},
  pages        = {179--186},
  publisher    = {Kluwer / Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/0-306-48709-8\_14},
  doi          = {10.1007/0-306-48709-8\_14},
  timestamp    = {Thu, 04 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/sp/03/YooJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/03/YooNBYBJ03,
  author       = {Sungjoo Yoo and
                  Gabriela Nicolescu and
                  Iuliana Bacivarov and
                  Wassim Youssef and
                  Aimen Bouchhima and
                  Ahmed Amine Jerraya},
  editor       = {Axel Jantsch and
                  Hannu Tenhunen},
  title        = {Multi-Level Software Validation for NoC},
  booktitle    = {Networks on Chip},
  pages        = {261--279},
  publisher    = {Kluwer / Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/0-306-48727-6\_13},
  doi          = {10.1007/0-306-48727-6\_13},
  timestamp    = {Thu, 18 Jul 2019 19:46:19 +0200},
  biburl       = {https://dblp.org/rec/books/sp/03/YooNBYBJ03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:books/sp/03/JYVW2003,
  editor       = {Ahmed Amine Jerraya and
                  Sungjoo Yoo and
                  Diederik Verkest and
                  Norbert Wehn},
  title        = {Embedded Software for SoC},
  publisher    = {Kluwer / Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/b105739},
  doi          = {10.1007/B105739},
  isbn         = {978-1-4020-7528-5},
  timestamp    = {Thu, 04 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/sp/03/JYVW2003.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/CesarioLNPYJGD02,
  author       = {Wander O. Ces{\'{a}}rio and
                  Damien Lyonnard and
                  Gabriela Nicolescu and
                  Yanick Paviot and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya and
                  Lovic Gauthier and
                  Mario Diaz{-}Nava},
  title        = {Multiprocessor SoC Platforms: {A} Component-Based Design Approach},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {19},
  number       = {6},
  pages        = {52--63},
  year         = {2002},
  url          = {https://doi.org/10.1109/MDT.2002.1047744},
  doi          = {10.1109/MDT.2002.1047744},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/CesarioLNPYJGD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tsi/NicolescuSCGLYCJ02,
  author       = {Gabriela Nicolescu and
                  Kjetil Svarstad and
                  Wander O. Ces{\'{a}}rio and
                  Lovic Gauthier and
                  Damien Lyonnard and
                  Sungjoo Yoo and
                  Philippe Coste and
                  Ahmed Amine Jerraya},
  title        = {Desiderata pour la sp{\'{e}}cification et la conception des syst{\`{e}}mes
                  {\'{e}}lectroniques},
  journal      = {Tech. Sci. Informatiques},
  volume       = {21},
  number       = {3},
  pages        = {291--314},
  year         = {2002},
  url          = {http://tsi.revuesonline.com/article.jsp?articleId=3849},
  timestamp    = {Wed, 24 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tsi/NicolescuSCGLYCJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/LeeYC02,
  author       = {Sunghyun Lee and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  editor       = {J{\"{o}}rg Henkel and
                  Xiaobo Sharon Hu and
                  Rajesh Gupta and
                  Sri Parameswaran},
  title        = {Reconfigurable SoC design with hierarchical {FSM} and synchronous
                  dataflow model},
  booktitle    = {Proceedings of the Tenth International Symposium on Hardware/Software
                  Codesign, {CODES} 2002, Estes Park, Colorado, USA, May 6-8, 2002},
  pages        = {199--204},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/774789.774830},
  doi          = {10.1145/774789.774830},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/LeeYC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/CesarioBGLNPYJD02,
  author       = {Wander O. Ces{\'{a}}rio and
                  Amer Baghdadi and
                  Lovic Gauthier and
                  Damien Lyonnard and
                  Gabriela Nicolescu and
                  Yanick Paviot and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya and
                  Mario Diaz{-}Nava},
  title        = {Component-based design approach for multicore SoCs},
  booktitle    = {Proceedings of the 39th Design Automation Conference, {DAC} 2002,
                  New Orleans, LA, USA, June 10-14, 2002},
  pages        = {789--794},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/513918.514115},
  doi          = {10.1145/513918.514115},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/CesarioBGLNPYJD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YooNGJ02,
  author       = {Sungjoo Yoo and
                  Gabriela Nicolescu and
                  Lovic Gauthier and
                  Ahmed Amine Jerraya},
  title        = {Automatic Generation of Fast Timed Simulation Models for Operating
                  Systems in SoC Design},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {620--627},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998365},
  doi          = {10.1109/DATE.2002.998365},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YooNGJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/BacivarovYJ02,
  author       = {Iuliana Bacivarov and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya},
  title        = {Timed {HW-SW} cosimulation using native execution of {OS} and application
                  {SW}},
  booktitle    = {Seventh {IEEE} International High-Level Design Validation and Test
                  Workshop 2002, Cannes, France, October 27-29, 2002},
  pages        = {51--56},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/HLDVT.2002.1224428},
  doi          = {10.1109/HLDVT.2002.1224428},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/BacivarovYJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeCY02,
  author       = {Sunghyun Lee and
                  Kiyoung Choi and
                  Sungjoo Yoo},
  editor       = {Vivek De and
                  Mary Jane Irwin and
                  Ingrid Verbauwhede and
                  Christian Piguet},
  title        = {An intra-task dynamic voltage scaling method for SoC design with hierarchical
                  {FSM} and synchronous dataflow model},
  booktitle    = {Proceedings of the 2002 International Symposium on Low Power Electronics
                  and Design, 2002, Monterey, California, USA, August 12-14, 2002},
  pages        = {84--87},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/566408.566432},
  doi          = {10.1145/566408.566432},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeCY02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/JerrayaYBN02,
  author       = {Ahmed Amine Jerraya and
                  Sungjoo Yoo and
                  Aimen Bouchhima and
                  Gabriela Nicolescu},
  editor       = {El Mostapha Aboulhamid and
                  Yukihiro Nakamura},
  title        = {Validation in a Component-Based Design Flow for Multicore SoCs},
  booktitle    = {Proceedings of the 15th International Symposium on System Synthesis
                  {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan},
  pages        = {162--167},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227170},
  doi          = {10.1109/ISSS.2002.1227170},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/JerrayaYBN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/NicolescuMKYYCJ02,
  author       = {Gabriela Nicolescu and
                  S. Martinez and
                  Lobna Kriaa and
                  Wassim Youssef and
                  Sungjoo Yoo and
                  Beno{\^{\i}}t Charlot and
                  Ahmed Amine Jerraya},
  title        = {Application of Multi-Domain and Multi-Language Cosimulation to an
                  Optical {MEM} Switch Design},
  booktitle    = {Proceedings of the 7th Asia and South Pacific Design Automation Conference
                  {(ASP-DAC} 2002), and the 15th International Conference on {VLSI}
                  Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002},
  pages        = {426},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ASPDAC.2002.994958},
  doi          = {10.1109/ASPDAC.2002.994958},
  timestamp    = {Mon, 14 Nov 2022 15:28:09 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/NicolescuMKYYCJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GauthierYJ01,
  author       = {Lovic Gauthier and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya},
  title        = {Automatic generation and targeting of application-specificoperating
                  systems and embedded systems software},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {20},
  number       = {11},
  pages        = {1293--1301},
  year         = {2001},
  url          = {https://doi.org/10.1109/43.959858},
  doi          = {10.1109/43.959858},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GauthierYJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GerinYNJ01,
  author       = {Patrice Gerin and
                  Sungjoo Yoo and
                  Gabriela Nicolescu and
                  Ahmed Amine Jerraya},
  editor       = {Satoshi Goto},
  title        = {Scalable and flexible cosimulation of SoC designs with heterogeneous
                  multi-processor target architectures},
  booktitle    = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation
                  Conference 2001, January 30-February 2, 2001, Yokohama, Japan},
  pages        = {63--68},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/370155.370276},
  doi          = {10.1145/370155.370276},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/GerinYNJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/YooNLBJ01,
  author       = {Sungjoo Yoo and
                  Gabriela Nicolescu and
                  Damien Lyonnard and
                  Amer Baghdadi and
                  Ahmed Amine Jerraya},
  editor       = {Jan Madsen and
                  J{\"{o}}rg Henkel and
                  Xiaobo Sharon Hu},
  title        = {A generic wrapper architecture for multi-processor SoC cosimulation
                  and design},
  booktitle    = {Proceedings of the Ninth International Symposium on Hardware/Software
                  Codesign, {CODES} 2001, Copenhagen, Denmark, 2001},
  pages        = {195--200},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/371636.371722},
  doi          = {10.1145/371636.371722},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/YooNLBJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LyonnardYBJ01,
  author       = {Damien Lyonnard and
                  Sungjoo Yoo and
                  Amer Baghdadi and
                  Ahmed Amine Jerraya},
  title        = {Automatic Generation of Application-Specific Architectures for Heterogeneous
                  Multiprocessor System-on-Chip},
  booktitle    = {Proceedings of the 38th Design Automation Conference, {DAC} 2001,
                  Las Vegas, NV, USA, June 18-22, 2001},
  pages        = {518--523},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/378239.379015},
  doi          = {10.1145/378239.379015},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LyonnardYBJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GauthierYJ01,
  author       = {Lovic Gauthier and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya},
  editor       = {Wolfgang Nebel and
                  Ahmed Jerraya},
  title        = {Automatic generation and targeting of application specific operating
                  systems and embedded systems software},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2001, Munich, Germany, March 12-16, 2001},
  pages        = {679--685},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DATE.2001.915098},
  doi          = {10.1109/DATE.2001.915098},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GauthierYJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JungYC01,
  author       = {Jinyong Jung and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  editor       = {Wolfgang Nebel and
                  Ahmed Jerraya},
  title        = {Performance improvement of multi-processor systems cosimulation based
                  on {SW} analysis},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2001, Munich, Germany, March 12-16, 2001},
  pages        = {749--753},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DATE.2001.915112},
  doi          = {10.1109/DATE.2001.915112},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/JungYC01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/NicolescuYJ01,
  author       = {Gabriela Nicolescu and
                  Sungjoo Yoo and
                  Ahmed Amine Jerraya},
  editor       = {Wolfgang Nebel and
                  Ahmed Jerraya},
  title        = {Mixed-level cosimulation for fine gradual refinement of communication
                  in SoC design},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2001, Munich, Germany, March 12-16, 2001},
  pages        = {754--759},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DATE.2001.915113},
  doi          = {10.1109/DATE.2001.915113},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/NicolescuYJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/YooNGJ01,
  author       = {Sungjoo Yoo and
                  Gabriela Nicolescu and
                  Lovic Gauthier and
                  Ahmed Amine Jerraya},
  title        = {Fast timed cosimulation of {HW/SW} implementation of embedded multiprocessor
                  SoC communication},
  booktitle    = {Proceedings of the Sixth {IEEE} International High-Level Design Validation
                  and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001},
  pages        = {79--82},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/HLDVT.2001.972811},
  doi          = {10.1109/HLDVT.2001.972811},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/YooNGJ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/YooC00,
  author       = {Sungjoo Yoo and
                  Kiyoung Choi},
  title        = {Optimizing Timed Cosimulation by Hybrid Synchronization},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {5},
  number       = {2},
  pages        = {129--152},
  year         = {2000},
  url          = {https://doi.org/10.1023/A:1008995606614},
  doi          = {10.1023/A:1008995606614},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/YooC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/YooCH00,
  author       = {Sungjoo Yoo and
                  Kiyoung Choi and
                  Dong Sam Ha},
  title        = {Performance improvement of geographically distributed cosimulation
                  by hierarchically grouped messages},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {8},
  number       = {5},
  pages        = {492--502},
  year         = {2000},
  url          = {https://doi.org/10.1109/92.894153},
  doi          = {10.1109/92.894153},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/YooCH00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JeongYLC00,
  author       = {Byungil Jeong and
                  Sungjoo Yoo and
                  Sunghyun Lee and
                  Kiyoung Choi},
  title        = {Hardware-software cosynthesis for run-time incrementally reconfigurable
                  FPGAs},
  booktitle    = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation
                  Conference 2000, Yokohama, Japan},
  pages        = {169--174},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/368434.368598},
  doi          = {10.1145/368434.368598},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/JeongYLC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/YooRCJC00,
  author       = {Sungjoo Yoo and
                  Kyoungseok Rha and
                  Youngchul Cho and
                  Jinyong Jung and
                  Kiyoung Choi},
  editor       = {Frank Vahid and
                  Jan Madsen},
  title        = {Performance estimation of multiple-cache IP-based systems: case study
                  of an interdependency problem and application of an extended shared
                  memory model},
  booktitle    = {Proceedings of the Eighth International Workshop on Hardware/Software
                  Codesign, {CODES} 2000, San Diego, California, USA, 2000},
  pages        = {77--81},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/334012.334027},
  doi          = {10.1145/334012.334027},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/YooRCJC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YooLJRCC00,
  author       = {Sungjoo Yoo and
                  Jong{-}eun Lee and
                  Jinyong Jung and
                  Kyungseok Rha and
                  Youngchul Cho and
                  Kiyoung Choi},
  editor       = {Ivo Bolsens},
  title        = {Fast Hardware-Software Coverification by Optimistic Execution of Real
                  Processor},
  booktitle    = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March
                  2000, Paris, France},
  pages        = {663--668},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1109/DATE.2000.840857},
  doi          = {10.1109/DATE.2000.840857},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/YooLJRCC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/YooC99,
  author       = {Sungjoo Yoo and
                  Kiyoung Choi},
  editor       = {Ahmed Amine Jerraya and
                  Luciano Lavagno and
                  Frank Vahid},
  title        = {Optimizing geographically distributed timed cosimulation by hierarchically
                  grouped messages},
  booktitle    = {Proceedings of the Seventh International Workshop on Hardware/Software
                  Codesign, {CODES} 1999, Rome, Italy, 1999},
  pages        = {100--104},
  publisher    = {{ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1145/301177.301497},
  doi          = {10.1145/301177.301497},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/YooC99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpga/JeongYC99,
  author       = {Byungil Jeong and
                  Sungjoo Yoo and
                  Kiyoung Choi},
  editor       = {Sinan Kaptanoglu and
                  Steve Trimberger},
  title        = {Exploiting Early Partial Reconfiguration of Run-Time Reconfigurable
                  FPGAs in Embedded Systems Design},
  booktitle    = {Proceedings of the 1999 {ACM/SIGDA} Seventh International Symposium
                  on Field Programmable Gate Arrays, {FPGA} 1999, Monterey, CA, USA,
                  February 21-23, 1999},
  pages        = {247},
  publisher    = {{ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1145/296399.296508},
  doi          = {10.1145/296399.296508},
  timestamp    = {Tue, 06 Nov 2018 16:58:22 +0100},
  biburl       = {https://dblp.org/rec/conf/fpga/JeongYC99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/YooC98,
  author       = {Sungjoo Yoo and
                  Kiyoung Choi},
  editor       = {Gaetano Borriello and
                  Ahmed Amine Jerraya and
                  Luciano Lavagno},
  title        = {Optimistic distributed timed cosimulation based on thread simulation
                  model},
  booktitle    = {Proceedings of the Sixth International Workshop on Hardware/Software
                  Codesign, {CODES} 1998, Seattle, Washington, USA, March 15-18, 1998},
  pages        = {71--75},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1145/278241.278300},
  doi          = {10.1145/278241.278300},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/YooC98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtcsa/YooJHC96,
  author       = {Sungjoo Yoo and
                  Jinhwan Jeon and
                  Seongsoo Hong and
                  Kiyoung Choi},
  title        = {Hardware-Software Codesign of Resource-Constrained Real-Time Systems},
  booktitle    = {Third International Workshop on Real-Time Computing Systems Application
                  {(RTCSA} '96), October 30 - November 01, 1996, Seoul, Korea},
  pages        = {286},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/RTCSA.1996.554988},
  doi          = {10.1109/RTCSA.1996.554988},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtcsa/YooJHC96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics