Остановите войну!
for scientists:
default search action
Lieven Eeckhout
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j125]Lieven Eeckhout:
Toward Sustainable Computer Systems. Computer 57(2): 101-104 (2024) - [c152]Hossein SeyyedAghaei, Mahmood Naderan-Tahan, Lieven Eeckhout:
GPU Scale-Model Simulation. HPCA 2024: 1125-1140 - 2023
- [j124]Lieven Eeckhout:
Kaya for Computer Architects: Toward Sustainable Computer Systems. IEEE Micro 43(1): 9-18 (2023) - [j123]Shiqing Zhang, Ziyue Zhang, Mahmood Naderan-Tahan, Hossein SeyyedAghaei, Xin Wang, He Li, Senbiao Qin, Didier Colle, Guy Torfs, Mario Pickavet, Johan Bauwelinck, Günther Roelkens, Lieven Eeckhout:
Photonic Network-on-Wafer for Multichiplet GPUs. IEEE Micro 43(2): 86-95 (2023) - [j122]Shiqing Zhang, Mahmood Naderan-Tahan, Magnus Jahre, Lieven Eeckhout:
Characterizing Multi-Chip GPU Data Sharing. ACM Trans. Archit. Code Optim. 20(4): 56:1-56:24 (2023) - [c151]Xia Zhao, Magnus Jahre, Yuhua Tang, Guangda Zhang, Lieven Eeckhout:
NUBA: Non-Uniform Bandwidth GPUs. ASPLOS (2) 2023: 544-559 - [c150]Björn Gottschall, Lieven Eeckhout, Magnus Jahre:
TEA: Time-Proportional Event Analysis. ISCA 2023: 23:1-23:13 - [c149]Shiqing Zhang, Mahmood Naderan-Tahan, Magnus Jahre, Lieven Eeckhout:
SAC: Sharing-Aware Caching in Multi-Chip GPUs. ISCA 2023: 43:1-43:13 - [c148]Mahmood Naderan-Tahan, Hossein SeyyedAghaei, Lieven Eeckhout:
Sieve: Stratified GPU-Compute Workload Sampling. ISPASS 2023: 224-234 - [c147]Ajeya Naithani, Jaime Roelandts, Sam Ainsworth, Timothy M. Jones, Lieven Eeckhout:
Decoupled Vector Runahead. MICRO 2023: 17-31 - 2022
- [j121]Lieven Eeckhout:
A First-Order Model to Assess Computer Architecture Sustainability. IEEE Comput. Archit. Lett. 21(2): 137-140 (2022) - [j120]Ajeya Naithani, Sam Ainsworth, Timothy M. Jones, Lieven Eeckhout:
Vector Runahead for Indirect Memory Accesses. IEEE Micro 42(4): 116-123 (2022) - [j119]Kartik Lakshminarasimhan, Ajeya Naithani, Josué Feliu, Lieven Eeckhout:
The Forward Slice Core: A High-Performance, Yet Low-Complexity Microarchitecture. ACM Trans. Archit. Code Optim. 19(2): 17:1-17:25 (2022) - [j118]Josué Feliu, Ajeya Naithani, Julio Sahuquillo, Salvador Petit, Moinuddin K. Qureshi, Lieven Eeckhout:
VMT: Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors. IEEE Trans. Computers 71(6): 1386-1398 (2022) - [c146]Ajeya Naithani, Lieven Eeckhout:
Reliability-Aware Runahead. HPCA 2022: 772-785 - [c145]Xia Zhao, Lieven Eeckhout, Magnus Jahre:
Delegated Replies: Alleviating Network Clogging in Heterogeneous Architectures. HPCA 2022: 1014-1028 - [c144]Wenjie Liu, Wim Heirman, Stijn Eyerman, Shoaib Akram, Lieven Eeckhout:
Scale-Model Architectural Simulation. ISPASS 2022: 58-68 - 2021
- [j117]Wenjie Liu, Wim Heirman, Stijn Eyerman, Shoaib Akram, Lieven Eeckhout:
Scale-Model Simulation. IEEE Comput. Archit. Lett. 20(2): 175-178 (2021) - [j116]Wenjie Liu, Shoaib Akram, Jennifer B. Sartor, Lieven Eeckhout:
Reliability-aware Garbage Collection for Hybrid HBM-DRAM Memories. ACM Trans. Archit. Code Optim. 18(1): 10:1-10:25 (2021) - [c143]Mahmood Naderan-Tahan, Lieven Eeckhout:
Cactus: Top-Down GPU-Compute Benchmarking using Real-Life Applications. IISWC 2021: 176-188 - [c142]Ajeya Naithani, Sam Ainsworth, Timothy M. Jones, Lieven Eeckhout:
Vector Runahead. ISCA 2021: 195-208 - [c141]Björn Gottschall, Lieven Eeckhout, Magnus Jahre:
TIP: Time-Proportional Instruction Profiling. MICRO 2021: 15-27 - 2020
- [j115]Josué Feliu, Julio Sahuquillo, Salvador Petit, Lieven Eeckhout:
Thread Isolation to Improve Symbiotic Scheduling on SMT Multicore Processors. IEEE Trans. Parallel Distributed Syst. 31(2): 359-373 (2020) - [j114]Yan Yin, Junmin Wu, Xu Zhou, Lieven Eeckhout, Amer Qouneh, Tao Li, Zhibin Yu:
COPA: Highly Cost-Effective Power Back-Up for Green Datacenters. IEEE Trans. Parallel Distributed Syst. 31(4): 967-980 (2020) - [c140]Kartik Lakshminarasimhan, Ajeya Naithani, Josué Feliu, Lieven Eeckhout:
The Forward Slice Core Microarchitecture. PACT 2020: 361-372 - [c139]Xia Zhao, Magnus Jahre, Lieven Eeckhout:
HSM: A Hybrid Slowdown Model for Multitasking GPUs. ASPLOS 2020: 1371-1385 - [c138]Ajeya Naithani, Josué Feliu, Almutaz Adileh, Lieven Eeckhout:
Precise Runahead Execution. HPCA 2020: 397-410 - [c137]Arthur Crapé, Lieven Eeckhout:
A Rigorous Benchmarking and Performance Analysis Methodology for Python Workloads. IISWC 2020: 83-93 - [c136]Xia Zhao, Magnus Jahre, Lieven Eeckhout:
Selective Replication in Memory-Side GPU Caches. MICRO 2020: 967-980 - [c135]Lu Wang, Magnus Jahre, Almutaz Adileh, Lieven Eeckhout:
MDM: The GPU Memory Divergence Model. MICRO 2020: 1009-1021
2010 – 2019
- 2019
- [j113]Ajeya Naithani, Josué Feliu, Almutaz Adileh, Lieven Eeckhout:
Precise Runahead Execution. IEEE Comput. Archit. Lett. 18(1): 71-74 (2019) - [j112]Lu Wang, Magnus Jahre, Almutaz Adileh, Zhiying Wang, Lieven Eeckhout:
Modeling Emerging Memory-Divergent GPU Applications. IEEE Comput. Archit. Lett. 18(2): 95-98 (2019) - [j111]Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout:
Crystal Gazer: Profile-Driven Write-Rationing Garbage Collection for Hybrid Memories. Proc. ACM Meas. Anal. Comput. Syst. 3(1): 9:1-9:27 (2019) - [j110]Lu Wang, Xia Zhao, David R. Kaeli, Zhiying Wang, Lieven Eeckhout:
Intra-Cluster Coalescing and Distributed-Block Scheduling to Reduce GPU NoC Pressure. IEEE Trans. Computers 68(7): 1064-1076 (2019) - [j109]Xia Zhao, Sheng Ma, Zhiying Wang, Natalie D. Enright Jerger, Lieven Eeckhout:
CD-Xbar: A Converge-Diverge Crossbar Network for High-Performance GPUs. IEEE Trans. Computers 68(9): 1283-1296 (2019) - [j108]Xia Zhao, Zhiying Wang, Lieven Eeckhout:
HeteroCore GPU to Exploit TLP-Resource Diversity. IEEE Trans. Parallel Distributed Syst. 30(1): 93-106 (2019) - [c134]Xia Zhao, Almutaz Adileh, Zhibin Yu, Zhiying Wang, Aamer Jaleel, Lieven Eeckhout:
Adaptive memory-side last-level GPU caching. ISCA 2019: 411-423 - [c133]Almutaz Adileh, Cecilia González-Alvarez, Juan Miguel De Haro Ruiz, Lieven Eeckhout:
Racing to Hardware-Validated Simulation. ISPASS 2019: 58-67 - [c132]Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout:
Emulating and Evaluating Hybrid Memory for Managed Languages on NUMA Hardware. ISPASS 2019: 93-105 - [c131]Sander De Pestel, Sam Van den Steen, Shoaib Akram, Lieven Eeckhout:
RPPM: Rapid Performance Prediction of Multithreaded Workloads on Multicore Processors. ISPASS 2019: 257-267 - [c130]Nikos Nikoleris, Lieven Eeckhout, Erik Hagersten, Trevor E. Carlson:
Directed Statistical Warming through Time Traveling. MICRO 2019: 1037-1049 - [c129]Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout:
Crystal Gazer: Profile-Driven Write-Rationing Garbage Collection for Hybrid Memories. SIGMETRICS (Abstracts) 2019: 21-22 - 2018
- [j107]Sam Van den Steen, Lieven Eeckhout:
Modeling Superscalar Processor Memory-Level Parallelism. IEEE Comput. Archit. Lett. 17(1): 9-12 (2018) - [j106]Sander De Pestel, Sam Van den Steen, Shoaib Akram, Lieven Eeckhout:
RPPM: Rapid Performance Prediction of Multithreaded Applications on Multicore Hardware. IEEE Comput. Archit. Lett. 17(2): 183-186 (2018) - [j105]Lieven Eeckhout:
Automotive Computing, Neuromorphic Computing, and Beyond. IEEE Micro 38(1): 4-5 (2018) - [j104]Lieven Eeckhout:
Hot Chips 29. IEEE Micro 38(2): 6-7 (2018) - [j103]Lieven Eeckhout:
Top Picks. IEEE Micro 38(3): 4 (2018) - [j102]Lieven Eeckhout:
Approximate Computing, Intelligent Computing. IEEE Micro 38(4): 6-7 (2018) - [j101]Lieven Eeckhout:
Memristors and More. IEEE Micro 38(5): 4 (2018) - [j100]Lieven Eeckhout:
Hardware Acceleration and a Grateful Goodbye. IEEE Micro 38(6): 4-5 (2018) - [j99]Ajeya Naithani, Stijn Eyerman, Lieven Eeckhout:
Optimizing Soft Error Reliability Through Scheduling on Heterogeneous Multicore Processors. IEEE Trans. Computers 67(6): 830-846 (2018) - [j98]Zhibin Yu, Jing Wang, Lieven Eeckhout, Chengzhong Xu:
QIG: Quantifying the Importance and Interaction of GPGPU Architecture Parameters. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(6): 1211-1224 (2018) - [j97]Zhibin Yu, Wen Xiong, Lieven Eeckhout, Zhendong Bei, Avi Mendelson, Chengzhong Xu:
MIA: Metric Importance Analysis for Big Data Workload Characterization. IEEE Trans. Parallel Distributed Syst. 29(6): 1371-1384 (2018) - [c128]Magnus Jahre, Lieven Eeckhout:
GDP: Using Dataflow Properties to Accurately Estimate Interference-Free Performance at Runtime. HPCA 2018: 296-309 - [c127]Xia Zhao, Zhiying Wang, Lieven Eeckhout:
Classification-Driven Search for Effective SM Partitioning in Multitasking GPUs. ICS 2018: 65-75 - [c126]Lu Wang, Xia Zhao, David R. Kaeli, Zhiying Wang, Lieven Eeckhout:
Intra-Cluster Coalescing to Reduce GPU NoC Pressure. IPDPS 2018: 990-999 - [c125]Yuxi Liu, Xia Zhao, Magnus Jahre, Zhenlin Wang, Xiaolin Wang, Yingwei Luo, Lieven Eeckhout:
Get Out of the Valley: Power-Efficient Address Mapping for GPUs. ISCA 2018: 166-179 - [c124]Almutaz Adileh, David J. Lilja, Lieven Eeckhout:
Architectural Support for Probabilistic Branches. MICRO 2018: 108-120 - [c123]Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout:
Write-rationing garbage collection for hybrid memories. PLDI 2018: 62-77 - [c122]Shoaib Akram, Kathryn S. McKinley, Jennifer B. Sartor, Lieven Eeckhout:
Managing hybrid memories by predicting object write intensity. Programming 2018: 75-80 - [i1]Shoaib Akram, Jennifer B. Sartor, Kathryn S. McKinley, Lieven Eeckhout:
Emulating Hybrid Memory on NUMA Hardware. CoRR abs/1808.00064 (2018) - 2017
- [j96]Xia Zhao, Yuxi Liu, Almutaz Adileh, Lieven Eeckhout:
LA-LLC: Inter-Core Locality-Aware Last-Level Cache to Exploit Many-to-Many Traffic in GPGPUs. IEEE Comput. Archit. Lett. 16(1): 42-45 (2017) - [j95]Almutaz Adileh, Stijn Eyerman, Aamer Jaleel, Lieven Eeckhout:
Mind The Power Holes: Sifting Operating Points in Power-Limited Heterogeneous Multicores. IEEE Comput. Archit. Lett. 16(1): 56-59 (2017) - [j94]Sudhanshu Shekhar Jha, Wim Heirman, Ayose Falcón, Jordi Tubella, Antonio González, Lieven Eeckhout:
Shared resource aware scheduling on power-constrained tiled many-core processors. J. Parallel Distributed Comput. 100: 30-41 (2017) - [j93]Lieven Eeckhout:
Looking Forward to Upcoming Themes. IEEE Micro 37(1): 4-5 (2017) - [j92]Lieven Eeckhout:
Hot Chips: Industry and Academia Cutting-Edge Microprocessors. IEEE Micro 37(2): 4 (2017) - [j91]Lieven Eeckhout:
Thoughts on the Top Picks Selections. IEEE Micro 37(3): 4-5 (2017) - [j90]Lieven Eeckhout:
Is Moore's Law Slowing Down? What's Next? IEEE Micro 37(4): 4-5 (2017) - [j89]Lieven Eeckhout:
From Cool Chips to Hot Interconnects. IEEE Micro 37(5): 4-5 (2017) - [j88]Lieven Eeckhout:
Moore's Law and Ultra-Low-Power Processors. IEEE Micro 37(6): 4-5 (2017) - [j87]Sander De Pestel, Stijn Eyerman, Lieven Eeckhout:
Linear Branch Entropy: Characterizing and Optimizing Branch Behavior in a Micro-Architecture Independent Way. IEEE Trans. Computers 66(3): 458-472 (2017) - [j86]Shoaib Akram, Jennifer B. Sartor, Lieven Eeckhout:
DEP+BURST: Online DVFS Performance Prediction for Energy-Efficient Managed Language Execution. IEEE Trans. Computers 66(4): 601-615 (2017) - [j85]Josué Feliu, Stijn Eyerman, Julio Sahuquillo, Salvador Petit, Lieven Eeckhout:
Improving IBM POWER8 Performance Through Symbiotic Job Scheduling. IEEE Trans. Parallel Distributed Syst. 28(10): 2838-2851 (2017) - [c121]Yuxi Liu, Xia Zhao, Zhibin Yu, Zhenlin Wang, Xiaolin Wang, Yingwei Luo, Lieven Eeckhout:
POSTER: BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads. PACT 2017: 140-141 - [c120]Vicent Selfa, Julio Sahuquillo, Lieven Eeckhout, Salvador Petit, María Engracia Gómez:
Application Clustering Policies to Address System Fairness with Intel's Cache Allocation Technology. PACT 2017: 194-205 - [c119]Ajeya Naithani, Stijn Eyerman, Lieven Eeckhout:
Reliability-Aware Scheduling on Heterogeneous Multicore Processors. HPCA 2017: 397-408 - [c118]Yuxi Liu, Xia Zhao, Zhibin Yu, Zhenlin Wang, Xiaolin Wang, Yingwei Luo, Lieven Eeckhout:
BACM: Barrier-Aware Cache Management for Irregular Memory-Intensive GPGPU Workloads. ICCD 2017: 633-640 - [c117]Jennifer B. Sartor, Kristof Du Bois, Stijn Eyerman, Lieven Eeckhout:
Analyzing the scalability of managed language applications with speedup stacks. ISPASS 2017: 23-32 - 2016
- [j84]Lieven Eeckhout:
Looking Forward to the 2016 Theme Issues. IEEE Micro 36(1): 2-3 (2016) - [j83]Lieven Eeckhout:
Hot Chips: The Annual Feast of Riches. IEEE Micro 36(2): 4 (2016) - [j82]Lieven Eeckhout:
Top Picks and Welcoming New Editorial Board Members. IEEE Micro 36(3): 2-4 (2016) - [j81]Lieven Eeckhout:
Hot Interconnects and Debates on Computer Architecture Research Directions. IEEE Micro 36(4): 2 (2016) - [j80]Lieven Eeckhout:
Security and Our Reader Survey. IEEE Micro 36(5): 4-5 (2016) - [j79]Lieven Eeckhout:
The Internet of Things Revolution. IEEE Micro 36(6): 4 (2016) - [j78]Chuntao Jiang, Zhibin Yu, Lieven Eeckhout, Hai Jin, Xiaofei Liao, Cheng-Zhong Xu:
Two-Level Hybrid Sampled Simulation of Multithreaded Applications. ACM Trans. Archit. Code Optim. 12(4): 39:1-39:25 (2016) - [j77]Shoaib Akram, Jennifer B. Sartor, Kenzo Van Craeynest, Wim Heirman, Lieven Eeckhout:
Boosting the Priority of Garbage: Scheduling Collection on Heterogeneous Multicore Processors. ACM Trans. Archit. Code Optim. 13(1): 4:1-4:25 (2016) - [j76]Cecilia González-Alvarez, Jennifer B. Sartor, Carlos Álvarez, Daniel Jiménez-González, Lieven Eeckhout:
MInGLE: An Efficient Framework for Domain Acceleration Using Low-Power Specialized Functional Units. ACM Trans. Archit. Code Optim. 13(2): 17:1-17:26 (2016) - [j75]Almutaz Adileh, Stijn Eyerman, Aamer Jaleel, Lieven Eeckhout:
Maximizing Heterogeneous Processor Performance Under Power Constraints. ACM Trans. Archit. Code Optim. 13(3): 29:1-29:23 (2016) - [j74]Paolo Montuschi, Edward J. McCluskey, Samarjit Chakraborty, Jason Cong, Ramón M. Rodríguez-Dagnino, Fred Douglis, Lieven Eeckhout, Gernot Heiser, Sushil Jajodia, Ruby B. Lee, Dinesh Manocha, Tomás F. Pena, Isabelle Puaut, Hanan Samet, Donatella Sciuto:
State of the Journal. IEEE Trans. Computers 65(7): 2014-2018 (2016) - [j73]Sam Van den Steen, Stijn Eyerman, Sander De Pestel, Moncef Mechri, Trevor E. Carlson, David Black-Schaffer, Erik Hagersten, Lieven Eeckhout:
Analytical Processor Performance and Power Modeling Using Micro-Architecture Independent Characteristics. IEEE Trans. Computers 65(12): 3537-3551 (2016) - [j72]Wen Xiong, Zhibin Yu, Lieven Eeckhout, Zhengdong Bei, Fan Zhang, Cheng-Zhong Xu:
ShenZhen transportation system (SZTS): a novel big data benchmark suite. J. Supercomput. 72(11): 4337-4364 (2016) - [j71]Stephen M. Blackburn, Amer Diwan, Matthias Hauswirth, Peter F. Sweeney, José Nelson Amaral, Tim Brecht, Lubomír Bulej, Cliff Click, Lieven Eeckhout, Sebastian Fischmeister, Daniel Frampton, Laurie J. Hendren, Michael Hind, Antony L. Hosking, Richard E. Jones, Tomas Kalibera, Nathan Keynes, Nathaniel Nystrom, Andreas Zeller:
The Truth, The Whole Truth, and Nothing But the Truth: A Pragmatic Guide to Assessing Empirical Evaluations. ACM Trans. Program. Lang. Syst. 38(4): 15:1-15:20 (2016) - [j70]Zhendong Bei, Zhibin Yu, Huiling Zhang, Wen Xiong, Cheng-Zhong Xu, Lieven Eeckhout, Shengzhong Feng:
RFHOC: A Random-Forest Approach to Auto-Tuning Hadoop's Configuration. IEEE Trans. Parallel Distributed Syst. 27(5): 1470-1483 (2016) - [c116]Sudhanshu Shekhar Jha, Wim Heirman, Ayose Falcón, Jordi Tubella, Antonio González, Lieven Eeckhout:
Shared resource aware scheduling on power-constrained tiled many-core processors. Conf. Computing Frontiers 2016: 365-368 - [c115]Xia Zhao, Sheng Ma, Yuxi Liu, Lieven Eeckhout, Zhiying Wang:
A low-cost conflict-free NoC for GPGPUs. DAC 2016: 34:1-34:6 - [c114]Xia Zhao, Sheng Ma, Chen Li, Lieven Eeckhout, Zhiying Wang:
A heterogeneous low-cost and low-latency Ring-Chain network for GPGPUs. ICCD 2016: 472-479 - [c113]Zhibin Yu, Lieven Eeckhout, Cheng-Zhong Xu:
Thread Similarity Matrix: Visualizing Branch Divergence in GPGPU Programs. ICPP 2016: 179-184 - [c112]Yuxi Liu, Zhibin Yu, Lieven Eeckhout, Vijay Janapa Reddi, Yingwei Luo, Xiaolin Wang, Zhenlin Wang, Cheng-Zhong Xu:
Barrier-Aware Warp Scheduling for Throughput Processors. ICS 2016: 42:1-42:12 - [c111]Shoaib Akram, Jennifer B. Sartor, Lieven Eeckhout:
DVFS performance prediction for managed multithreaded applications. ISPASS 2016: 12-23 - 2015
- [j69]Lieven Eeckhout:
Building on 35 Years toward a Vibrant Future. IEEE Micro 35(1): 2-3 (2015) - [j68]Lieven Eeckhout:
Hot Chips in an Increasingly Diverse Microprocessor Landscape. IEEE Micro 35(2): 2-3 (2015) - [j67]Lieven Eeckhout:
The State of the Computer Architecture Field and Its Top Picks. IEEE Micro 35(3): 2-4 (2015) - [j66]Lieven Eeckhout:
Heterogeneity in Response to the Power Wall. IEEE Micro 35(4): 2-3 (2015) - [j65]Lieven Eeckhout:
The Structure of Computer Architecture (R)evolution. IEEE Micro 35(5): 2-3 (2015) - [j64]Lieven Eeckhout:
Performance Evaluation and Its Impact on Design. IEEE Micro 35(6): 2-3 (2015) - [j63]Shuangde Fang, Wenwen Xu, Yang Chen, Lieven Eeckhout, Olivier Temam, Yunji Chen, Chengyong Wu, Xiaobing Feng:
Practical Iterative Optimization for the Data Center. ACM Trans. Archit. Code Optim. 12(2): 15:1-15:26 (2015) - [j62]Zhibin Yu, Lieven Eeckhout, Nilanjan Goswami, Tao Li, Lizy K. John, Hai Jin, Cheng-Zhong Xu, Junmin Wu:
GPGPU-MiniBench: Accelerating GPGPU Micro-Architecture Simulation. IEEE Trans. Computers 64(11): 3153-3166 (2015) - [j61]Arun Arvind Nair, Stijn Eyerman, Jian Chen, Lizy Kurian John, Lieven Eeckhout:
Mechanistic Modeling of Architectural Vulnerability Factor. ACM Trans. Comput. Syst. 32(4): 11:1-11:32 (2015) - [c110]Cecilia González-Alvarez, Jennifer B. Sartor, Carlos Álvarez, Daniel Jiménez-González, Lieven Eeckhout:
Automatic design of domain-specific instructions for low-power processors. ASAP 2015: 1-8 - [c109]Sudhanshu Shekhar Jha, Wim Heirman, Ayose Falcón, Trevor E. Carlson, Kenzo Van Craeynest, Jordi Tubella, Antonio González, Lieven Eeckhout:
Chrysso: an integrated power manager for constrained many-core processors. Conf. Computing Frontiers 2015: 19:1-19:8 - [c108]Chuntao Jiang, Zhibin Yu, Hai Jin, Xiaofei Liao, Lieven Eeckhout, Yonggang Zeng, Cheng-Zhong Xu:
Shorter On-Line Warmup for Sampled Simulation of Multi-threaded Applications. ICPP 2015: 350-359 - [c107]Wen Xiong, Zhibin Yu, Lieven Eeckhout, Zhengdong Bei, Fan Zhang, Cheng-Zhong Xu:
SZTS: A Novel Big Data Transportation System Benchmark Suite. ICPP 2015: 819-828 - [c106]Trevor E. Carlson, Wim Heirman, Osman Allam, Stefanos Kaxiras, Lieven Eeckhout:
The load slice core microarchitecture. ISCA 2015: 272-284 - [c105]Sam Van den Steen, Sander De Pestel, Moncef Mechri, Stijn Eyerman, Trevor E. Carlson, David Black-Schaffer, Erik Hagersten, Lieven Eeckhout:
Micro-architecture independent analytical processor performance and power modeling. ISPASS 2015: 32-41 - [c104]Sander De Pestel, Stijn Eyerman, Lieven Eeckhout:
Micro-architecture independent branch behavior characterization. ISPASS 2015: 135-144 - 2014
- [j60]Stijn Eyerman, Lieven Eeckhout:
Restating the Case for Weighted-IPC Metrics to Evaluate Multiprogram Workload Performance. IEEE Comput. Archit. Lett. 13(2): 93-96 (2014) - [j59]Shuangde Fang, Zidong Du, Yuntan Fang, Yuanjie Huang, Yang Chen, Lieven Eeckhout, Olivier Temam, Huawei Li, Yunji Chen, Chengyong Wu:
Performance Portability Across Heterogeneous SoCs Using a Generalized Library-Based Approach. ACM Trans. Archit. Code Optim. 11(2): 21:1-21:25 (2014) - [j58]Trevor E. Carlson, Wim Heirman, Stijn Eyerman, Ibrahim Hur, Lieven Eeckhout:
An Evaluation of High-Level Mechanistic Core Models. ACM Trans. Archit. Code Optim. 11(3): 28:1-28:25 (2014) - [j57]Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout:
Mechanistic Analytical Modeling of Superscalar In-Order Processor Performance. ACM Trans. Archit. Code Optim. 11(4): 50:1-50:26 (2014) - [c103]Jennifer B. Sartor, Wim Heirman, Stephen M. Blackburn, Lieven Eeckhout, Kathryn S. McKinley:
Cooperative cache scrubbing. PACT 2014: 15-26 - [c102]Stijn Eyerman, Lieven Eeckhout:
The benefit of SMT in the multi-core era: flexibility towards degrees of thread-level parallelism. ASPLOS 2014: 591-606 - [c101]Wim Heirman, Trevor E. Carlson, Kenzo Van Craeynest, Ibrahim Hur, Aamer Jaleel, Lieven Eeckhout:
Undersubscribed threading on clustered cache architectures. HPCA 2014: 678-689 - [c100]Wim Heirman, Trevor E. Carlson, Kenzo Van Craeynest, Ibrahim Hur, Aamer Jaleel, Lieven Eeckhout:
Automatic SMT threading for OpenMP applications on the Intel Xeon Phi co-processor. ROSS@ICS 2014: 7:1-7:7 - [c99]Trevor E. Carlson, Wim Heirman, Kenzo Van Craeynest, Lieven Eeckhout:
BarrierPoint: Sampled simulation of multi-threaded applications. ISPASS 2014: 2-12 - 2013
- [j56]Kristof Du Bois, Stijn Eyerman, Lieven Eeckhout:
Per-thread cycle accounting in multicore processors. ACM Trans. Archit. Code Optim. 9(4): 29:1-29:22 (2013) - [j55]Kenzo Van Craeynest, Lieven Eeckhout:
Understanding fundamental design choices in single-ISA heterogeneous multicore architectures. ACM Trans. Archit. Code Optim. 9(4): 32:1-32:23 (2013) - [j54]Maximilien Breughe, Lieven Eeckhout:
Selecting representative benchmark inputs for exploring microprocessor design spaces. ACM Trans. Archit. Code Optim. 10(4): 37:1-37:24 (2013) - [j53]Cecilia González-Alvarez, Jennifer B. Sartor, Carlos Álvarez, Daniel Jiménez-González, Lieven Eeckhout:
Accelerating an application domain with specialized functional units. ACM Trans. Archit. Code Optim. 10(4): 47:1-47:25 (2013) - [j52]Chuntao Jiang, Zhibin Yu, Hai Jin, Cheng-Zhong Xu, Lieven Eeckhout, Wim Heirman, Trevor E. Carlson, Xiaofei Liao:
PCantorSim: Accelerating parallel architecture simulation through fractal-based sampling. ACM Trans. Archit. Code Optim. 10(4): 49:1-49:24 (2013) - [c98]Kenzo Van Craeynest, Shoaib Akram, Wim Heirman, Aamer Jaleel, Lieven Eeckhout:
Fairness-aware scheduling on single-ISA heterogeneous multi-cores. PACT 2013: 177-187 - [c97]Kristof Du Bois, Stijn Eyerman, Jennifer B. Sartor, Lieven Eeckhout:
Criticality stacks: identifying critical threads in parallel programs using synchronization behavior. ISCA 2013: 511-522 - [c96]Trevor E. Carlson, Wim Heirman, Lieven Eeckhout:
Sampled simulation of multi-threaded applications. ISPASS 2013: 2-12 - [c95]Kristof Du Bois, Jennifer B. Sartor, Stijn Eyerman, Lieven Eeckhout:
Bottle graphs: visualizing scalability bottlenecks in multi-threaded applications. OOPSLA 2013: 355-372 - [c94]Trevor E. Carlson, Wim Heirman, Kenzo Van Craeynest, Lieven Eeckhout:
Node Performance and Energy Analysis with the Sniper Multi-core Simulator. Parallel Tools Workshop 2013: 79-89 - [c93]Zhibin Yu, Lieven Eeckhout, Nilanjan Goswami, Tao Li, Lizy Kurian John, Hai Jin, Cheng-Zhong Xu:
Accelerating GPGPU architecture simulation. SIGMETRICS 2013: 331-332 - 2012
- [j51]Hengjie Li, Wenting He, Yang Chen, Lieven Eeckhout, Olivier Temam, Chengyong Wu:
SWAP: Parallelization through Algorithm Substitution. IEEE Micro 32(4): 54-67 (2012) - [j50]Frederick Ryckbosch, Stijn Polfliet, Lieven Eeckhout:
VSim: Simulating multi-server setups at near native hardware speed. ACM Trans. Archit. Code Optim. 8(4): 52:1-52:20 (2012) - [j49]Stijn Eyerman, Lieven Eeckhout:
Probabilistic modeling for job symbiosis scheduling on SMT processors. ACM Trans. Archit. Code Optim. 9(2): 7:1-7:27 (2012) - [j48]Yang Chen, Shuangde Fang, Yuanjie Huang, Lieven Eeckhout, Grigori Fursin, Olivier Temam, Chengyong Wu:
Deconstructing iterative optimization. ACM Trans. Archit. Code Optim. 9(3): 21:1-21:30 (2012) - [j47]Juan Hamers, Lieven Eeckhout:
Exploiting media stream similarity for energy-efficient decoding and resource prediction. ACM Trans. Embed. Comput. Syst. 11(1): 2:1-2:25 (2012) - [c92]Wim Heirman, Souradip Sarkar, Trevor E. Carlson, Ibrahim Hur, Lieven Eeckhout:
Power-aware multi-core simulation for early design stage hardware/software co-optimization. PACT 2012: 3-12 - [c91]Yang Chen, Shuangde Fang, Lieven Eeckhout, Olivier Temam, Chengyong Wu:
Iterative optimization for the data center. ASPLOS 2012: 49-60 - [c90]Osman Allam, Stijn Eyerman, Lieven Eeckhout:
An efficient CPI stack counter architecture for superscalar processors. ACM Great Lakes Symposium on VLSI 2012: 55-58 - [c89]Kenzo Van Craeynest, Aamer Jaleel, Lieven Eeckhout, Paolo Narváez, Joel S. Emer:
Scheduling heterogeneous multi-cores through performance impact estimation (PIE). ISCA 2012: 213-224 - [c88]Arun A. Nair, Stijn Eyerman, Lieven Eeckhout, Lizy Kurian John:
A first-order mechanistic model for architectural vulnerability factor. ISCA 2012: 273-284 - [c87]Maximilien Breughe, Stijn Eyerman, Lieven Eeckhout:
A mechanistic performance model for superscalar in-order processors. ISPASS 2012: 14-24 - [c86]Stijn Eyerman, Kristof Du Bois, Lieven Eeckhout:
Speedup stacks: Identifying scaling bottlenecks in multi-threaded applications. ISPASS 2012: 145-155 - [c85]Jennifer B. Sartor, Lieven Eeckhout:
Exploring multi-threaded Java application performance on multicore hardware. OOPSLA 2012: 281-296 - [c84]Luk Van Ertvelde, Lieven Eeckhout:
Workload generation for microprocessor performance evaluation: SPEC PhD award (invited abstract). ICPE 2012: 165-166 - [c83]Stijn Polfliet, Frederick Ryckbosch, Lieven Eeckhout:
Studying hardware and software trade-offs for a real-life web 2.0 workload. ICPE 2012: 181-192 - 2011
- [j46]Frederick Ryckbosch, Stijn Polfliet, Lieven Eeckhout:
Trends in Server Energy Proportionality. Computer 44(9): 69-72 (2011) - [j45]Stijn Polfliet, Frederick Ryckbosch, Lieven Eeckhout:
Automated Full-System Power Characterization. IEEE Micro 31(3): 46-59 (2011) - [j44]Stijn Eyerman, Lieven Eeckhout:
Fine-grained DVFS using on-chip regulators. ACM Trans. Archit. Code Optim. 8(1): 1:1-1:24 (2011) - [j43]Frederik Vandeputte, Lieven Eeckhout:
Characterizing Time-Varying Program Behavior Using Phase Complexity Surfaces. Trans. High Perform. Embed. Archit. Compil. 4: 21-41 (2011) - [j42]Frederik Vandeputte, Lieven Eeckhout:
Finding Extreme Behaviors in Microprocessor Workloads. Trans. High Perform. Embed. Archit. Compil. 4: 155-174 (2011) - [c82]Rainer Leupers, Lieven Eeckhout, Grant Martin, Frank Schirrmeister, Nigel P. Topham, Xiaotao Chen:
Virtual Manycore platforms: Moving towards 100+ processor cores. DATE 2011: 715-720 - [c81]Zhe Ma, Trevor E. Carlson, Wim Heirman, Lieven Eeckhout:
Evaluating Application Vulnerability to Soft Errors in Multi-level Cache Hierarchy. Euro-Par Workshops (2) 2011: 272-281 - [c80]Kristof Du Bois, Tim Schaeps, Stijn Polfliet, Frederick Ryckbosch, Lieven Eeckhout:
SWEEP: evaluating computer system energy efficiency using synthetic workloads. HiPEAC 2011: 159-166 - [c79]Stijn Polfliet, Frederick Ryckbosch, Lieven Eeckhout:
Optimizing the datacenter for data-centric workloads. ICS 2011: 182-191 - [c78]Beau Piccart, Andy Georges, Hendrik Blockeel, Lieven Eeckhout:
Ranking commercial machines through data transposition. IISWC 2011: 3-14 - [c77]Kenzo Van Craeynest, Lieven Eeckhout:
The Multi-Program Performance Model: Debunking current practice in multi-core simulation. IISWC 2011: 26-37 - [c76]Wim Heirman, Trevor E. Carlson, Shuai Che, Kevin Skadron, Lieven Eeckhout:
Using cycle stacks to understand scaling bottlenecks in multi-threaded workloads. IISWC 2011: 38-49 - [c75]Beau Piccart, Hendrik Blockeel, Andy Georges, Lieven Eeckhout:
Predictive Learning in Two-Way Datasets. ILP (Late Breaking Papers) 2011: 61-68 - [c74]Stijn Eyerman, Kenneth Hoste, Lieven Eeckhout:
Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware. ISPASS 2011: 216-226 - [c73]Wim Heirman, Trevor E. Carlson, Souradip Sarkar, Pieter Ghysels, Wim Vanroose, Lieven Eeckhout:
Using Fast and Accurate Simulation to Explore Hardware/Software Trade-offs in the Multi-Core Era. PARCO 2011: 343-350 - [c72]Maximilien Breughe, Zheng Li, Yang Chen, Stijn Eyerman, Olivier Temam, Chengyong Wu, Lieven Eeckhout:
How sensitive is processor customization to the workload's input datasets? SASP 2011: 1-7 - [c71]Trevor E. Carlson, Wim Heirman, Lieven Eeckhout:
Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation. SC 2011: 52:1-52:12 - 2010
- [b1]Lieven Eeckhout:
Computer Architecture Performance Evaluation Methods. Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers 2010, ISBN 978-3-031-00599-2 - [j41]Juan Hamers, Lieven Eeckhout:
Scenario-Based Resource Prediction for QoS-Aware Media Processing. Computer 43(10): 56-63 (2010) - [j40]Stijn Eyerman, Lieven Eeckhout:
Per-Thread Cycle Accounting. IEEE Micro 30(1): 71-80 (2010) - [j39]Frederick Ryckbosch, Stijn Polfliet, Lieven Eeckhout:
Fast, Accurate, and Validated Full-System Software Simulation of x86 Hardware. IEEE Micro 30(6): 46-56 (2010) - [j38]Luk Van Ertvelde, Lieven Eeckhout:
Workload Reduction and Generation Techniques. IEEE Micro 30(6): 57-65 (2010) - [j37]Stijn Eyerman, Lieven Eeckhout:
A Counter Architecture for Online DVFS Profitability Estimation. IEEE Trans. Computers 59(11): 1576-1583 (2010) - [c70]Stijn Eyerman, Lieven Eeckhout:
Probabilistic job symbiosis modeling for SMT processor scheduling. ASPLOS 2010: 91-102 - [c69]Kenneth Hoste, Andy Georges, Lieven Eeckhout:
Automated just-in-time compiler tuning. CGO 2010: 62-72 - [c68]Davy Genbrugge, Stijn Eyerman, Lieven Eeckhout:
Interval simulation: Raising the level of abstraction in architectural simulation. HPCA 2010: 1-12 - [c67]Luk Van Ertvelde, Lieven Eeckhout:
Benchmark synthesis for architecture and compiler exploration. IISWC 2010: 1-11 - [c66]Stijn Eyerman, Lieven Eeckhout:
Modeling critical sections in Amdahl's law and its implications for multicore design. ISCA 2010: 362-370 - [c65]Arun A. Nair, Lizy Kurian John, Lieven Eeckhout:
AVF Stressmark: Towards an Automated Methodology for Bounding the Worst-Case Vulnerability to Soft Errors. MICRO 2010: 125-136 - [c64]Yang Chen, Yuanjie Huang, Lieven Eeckhout, Grigori Fursin, Liang Peng, Olivier Temam, Chengyong Wu:
Evaluating iterative optimization across 1000 datasets. PLDI 2010: 448-459
2000 – 2009
- 2009
- [j36]Kenneth Hoste, Lieven Eeckhout:
A Methodology for Analyzing Commercial Processor Performance Numbers. Computer 42(10): 70-76 (2009) - [j35]Stijn Eyerman, Lieven Eeckhout:
Memory-level parallelism aware fetch policies for simultaneous multithreading processors. ACM Trans. Archit. Code Optim. 6(1): 3:1-3:33 (2009) - [j34]Davy Genbrugge, Lieven Eeckhout:
Chip Multiprocessor Design Space Exploration through Statistical Simulation. IEEE Trans. Computers 58(12): 1668-1681 (2009) - [j33]Simon Kluyskens, Lieven Eeckhout:
Branch Predictor Warmup for Sampled Simulation through Branch History Matching. Trans. High Perform. Embed. Archit. Compil. 2: 45-64 (2009) - [j32]Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith:
A mechanistic performance model for superscalar out-of-order processors. ACM Trans. Comput. Syst. 27(2): 3:1-3:37 (2009) - [j31]Stefan Valentin Gheorghita, Martin Palkovic, Juan Hamers, Arnout Vandecappelle, Stelios Mamagkakis, Twan Basten, Lieven Eeckhout, Henk Corporaal, Francky Catthoor, Frederik Vandeputte, Koen De Bosschere:
System-scenario-based design of dynamic embedded systems. ACM Trans. Design Autom. Electr. Syst. 14(1): 3:1-3:45 (2009) - [c63]Stijn Eyerman, Lieven Eeckhout:
Per-thread cycle accounting in SMT processors. ASPLOS 2009: 133-144 - [c62]Kenzo Van Craeynest, Stijn Eyerman, Lieven Eeckhout:
MLP-Aware Runahead Threads in a Simultaneous Multithreading Processor. HiPEAC 2009: 110-124 - [c61]Frederik Vandeputte, Lieven Eeckhout:
Finding Stress Patterns in Microprocessor Workloads. HiPEAC 2009: 153-167 - 2008
- [j30]Lieven Eeckhout:
Sampled Processor Simulation- A Survey. Adv. Comput. 72: 173-224 (2008) - [j29]Luk Van Ertvelde, Filip Hellebaut, Lieven Eeckhout:
Accurate and Efficient Cache Warmup for Sampled Processor Simulation Through NSL-BLRL. Comput. J. 51(2): 192-206 (2008) - [j28]Stijn Eyerman, Lieven Eeckhout:
System-Level Performance Metrics for Multiprogram Workloads. IEEE Micro 28(3): 42-53 (2008) - [j27]Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John:
Distilling the essence of proprietary workloads into miniature benchmarks. ACM Trans. Archit. Code Optim. 5(2): 10:1-10:33 (2008) - [j26]Davy Genbrugge, Lieven Eeckhout:
Memory Data Flow Modeling in Statistical Simulation for the Efficient Exploration of Microprocessor Design Spaces. IEEE Trans. Computers 57(1): 41-54 (2008) - [c60]Luk Van Ertvelde, Lieven Eeckhout:
Dispersing proprietary applications as benchmarks through code mutation. ASPLOS 2008: 201-210 - [c59]Kenneth Hoste, Lieven Eeckhout:
Cole: compiler optimization level exploration. CGO 2008: 165-174 - [c58]Juan Hamers, Lieven Eeckhout:
Automated hardware-independent scenario identification. DAC 2008: 954-959 - [c57]Stijn Eyerman, Lieven Eeckhout, James E. Smith:
Studying Compiler Optimizations on Superscalar Processors Through Interval Analysis. HiPEAC 2008: 114-129 - [c56]Frederik Vandeputte, Lieven Eeckhout:
Phase Complexity Surfaces: Characterizing Time-Varying Program Behavior. HiPEAC 2008: 320-334 - [c55]Ajay M. Joshi, Lieven Eeckhout, Lizy Kurian John, Ciji Isen:
Automated microprocessor stressmark generation. HPCA 2008: 229-239 - [c54]Kenneth Hoste, Lieven Eeckhout:
Characterizing the Unique and Diverse Behaviors in Existing and Emerging General-Purpose and Domain-Specific Benchmark Suites. ISPASS 2008: 157-168 - [c53]Andy Georges, Lieven Eeckhout, Dries Buytaert:
Java performance evaluation through rigorous replay compilation. OOPSLA 2008: 367-384 - 2007
- [j25]Frederik Vandeputte, Lieven Eeckhout, Koen De Bosschere:
Exploiting program phase behavior for energy reduction on multi-configuration processors. J. Syst. Archit. 53(8): 489-500 (2007) - [j24]Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith:
A Top-Down Approach to Architecting CPI Component Performance Counters. IEEE Micro 27(1): 84-93 (2007) - [j23]Kenneth Hoste, Lieven Eeckhout:
Microarchitecture-Independent Workload Characterization. IEEE Micro 27(3): 63-72 (2007) - [j22]Kris Venstermans, Lieven Eeckhout, Koen De Bosschere:
Java object header elimination for reduced memory consumption in 64-bit virtual machines. ACM Trans. Archit. Code Optim. 4(3): 17 (2007) - [j21]Dries Buytaert, Kris Venstermans, Lieven Eeckhout, Koen De Bosschere:
GCH: Hints for Triggering Garbage Collections. Trans. High Perform. Embed. Archit. Compil. 1: 74-94 (2007) - [c52]Stijn Eyerman, Lieven Eeckhout, James E. Smith:
Studying Compiler-Microarchitecture Interactions through Interval Analysis. PACT 2007: 406 - [c51]Ajay M. Joshi, Lieven Eeckhout, Lizy Kurian John:
Exploring the Application Behavior Space Using Parameterized Synthetic Benchmarks. PACT 2007: 412 - [c50]Juan Hamers, Lieven Eeckhout:
Resource prediction for media stream decoding. DATE 2007: 594-599 - [c49]Kris Venstermans, Lieven Eeckhout, Koen De Bosschere:
Object-Relative Addressing: Compressed Pointers in 64-Bit Java Virtual Machines. ECOOP 2007: 79-100 - [c48]Simon Kluyskens, Lieven Eeckhout:
Branch History Matching: Branch Predictor Warmup for Sampled Simulation. HiPEAC 2007: 153-167 - [c47]Stijn Eyerman, Lieven Eeckhout:
A Memory-Level Parallelism Aware Fetch Policy for SMT Processors. HPCA 2007: 240-249 - [c46]Davy Genbrugge, Lieven Eeckhout:
Statistical simulation of chip multiprocessors running multi-program workloads. ICCD 2007: 464-471 - [c45]Michael Van Biesbrouck, Lieven Eeckhout, Brad Calder:
Representative Multiprogram Workloads for Multithreaded Processor Simulation. IISWC 2007: 193-203 - [c44]Juan Hamers, Lieven Eeckhout, Koen De Bosschere:
Exploiting Video Stream Similarity for Energy-Efficient Decoding. MMM (2) 2007: 11-22 - [c43]Andy Georges, Dries Buytaert, Lieven Eeckhout:
Statistically rigorous java performance evaluation. OOPSLA 2007: 57-76 - [c42]Dries Buytaert, Andy Georges, Michael Hind, Matthew Arnold, Lieven Eeckhout, Koen De Bosschere:
Using hpm-sampling to drive dynamic compilation. OOPSLA 2007: 553-568 - [c41]Andy Georges, Dries Buytaert, Lieven Eeckhout:
Adding rigorous statistics to the Java benchmarker's toolbox. OOPSLA Companion 2007: 793-794 - [c40]Kenneth Hoste, Lieven Eeckhout, Hendrik Blockeel:
Analyzing commercial processor performance numbers for predicting performance of applications of interest. SIGMETRICS 2007: 375-376 - 2006
- [j20]Joshua J. Yi, Lieven Eeckhout, David J. Lilja, Brad Calder, Lizy Kurian John, James E. Smith:
The Future of Simulation: A Field of Dreams. Computer 39(11): 22-29 (2006) - [j19]Veerle Desmet, Lieven Eeckhout, Koen De Bosschere:
Improved composite confidence mechanisms for a perceptron branch predictor. J. Syst. Archit. 52(3): 143-151 (2006) - [j18]Hassan Sbeyti, Smaïl Niar, Lieven Eeckhout:
Pattern-driven prefetching for multimedia applications on embedded processors. J. Syst. Archit. 52(4): 199-212 (2006) - [j17]Lieven Eeckhout, Koen De Bosschere:
Yet shorter warmup by combining no-state-loss and MRRL for sampled LRU cache simulation. J. Syst. Softw. 79(5): 645-652 (2006) - [j16]Michael Van Biesbrouck, Brad Calder, Lieven Eeckhout:
Efficient Sampling Startup for SimPoint. IEEE Micro 26(4): 32-42 (2006) - [j15]Kris Venstermans, Lieven Eeckhout, Koen De Bosschere:
64-bit versus 32-bit Virtual Machines for Java. Softw. Pract. Exp. 36(1): 1-26 (2006) - [j14]Ajay Joshi, Aashish Phansalkar, Lieven Eeckhout, Lizy Kurian John:
Measuring Benchmark Similarity Using Inherent Program Characteristics. IEEE Trans. Computers 55(6): 769-782 (2006) - [c39]Kenneth Hoste, Aashish Phansalkar, Lieven Eeckhout, Andy Georges, Lizy Kurian John, Koen De Bosschere:
Performance prediction based on inherent program similarity. PACT 2006: 114-122 - [c38]Luk Van Ertvelde, Filip Hellebaut, Lieven Eeckhout, Koen De Bosschere:
NSL-BLRL: Efficient CacheWarmup for Sampled Processor Simulation. Annual Simulation Symposium 2006: 168-177 - [c37]Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith:
A performance counter architecture for computing accurate CPI components. ASPLOS 2006: 175-184 - [c36]Kris Venstermans, Lieven Eeckhout, Koen De Bosschere:
Space-Efficient 64-bit Java Objects through Selective Typed Virtual Addressing. CGO 2006: 76-86 - [c35]Stijn Eyerman, Lieven Eeckhout, Koen De Bosschere:
Efficient design space exploration of high performance embedded out-of-order processors. DATE 2006: 351-356 - [c34]Joshua J. Yi, Hans Vandierendonck, Lieven Eeckhout, David J. Lilja:
The exigency of benchmark and compiler drift: designing tomorrow's processors with yesterday's tools. ICS 2006: 75-86 - [c33]Davy Genbrugge, Lieven Eeckhout, Koen De Bosschere:
Accurate memory data flow modeling in statistical simulation. ICS 2006: 87-96 - [c32]Kenneth Hoste, Lieven Eeckhout:
Comparing Benchmarks Using Key Microarchitecture-Independent Characteristics. IISWC 2006: 83-92 - [c31]Joshua J. Yi, Resit Sendag, Lieven Eeckhout, Ajay Joshi, David J. Lilja, Lizy Kurian John:
Evaluating Benchmark Subsetting Approaches. IISWC 2006: 93-104 - [c30]Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John:
Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks. IISWC 2006: 105-115 - [c29]Stijn Eyerman, James E. Smith, Lieven Eeckhout:
Characterizing the branch misprediction penalty. ISPASS 2006: 48-58 - [c28]Ajay Joshi, Joshua J. Yi, Robert H. Bell Jr., Lieven Eeckhout, Lizy Kurian John, David J. Lilja:
Evaluating the efficacy of statistical simulation for design space exploration. ISPASS 2006: 70-79 - [c27]Michael Van Biesbrouck, Lieven Eeckhout, Brad Calder:
Considering all starting points for simultaneous multithreading simulation. ISPASS 2006: 143-153 - [c26]Jonas Maebe, Dries Buytaert, Lieven Eeckhout, Koen De Bosschere:
Javana: a system for building customized Java program analysis tools. OOPSLA 2006: 153-168 - [c25]Dries Buytaert, Jonas Maebe, Lieven Eeckhout, Koen De Bosschere:
Building Java program analysis tools using Javana. OOPSLA Companion 2006: 653-654 - 2005
- [j13]Lieven Eeckhout, Yue Luo, Koen De Bosschere, Lizy Kurian John:
BLRL: Accurate and Efficient Warmup for Sampled Processor Simulation. Comput. J. 48(4): 451-459 (2005) - [j12]Paul Brebner, Emmanuel Cecchet, Julie Marguerite, Petr Tuma, Octavian Ciuhandu, Bruno Dufour, Lieven Eeckhout, Stéphane Frénot, Arvind S. Krishna, John Murphy, Clark Verbrugge:
Middleware benchmarking: approaches, results, experiences. Concurr. Comput. Pract. Exp. 17(15): 1799-1805 (2005) - [j11]Yue Luo, Lizy Kurian John, Lieven Eeckhout:
SMA: A Self-Monitored Adaptive Cache Warm-Up Scheme for Microprocessor Simulation. Int. J. Parallel Program. 33(5): 561-581 (2005) - [j10]Lieven Eeckhout, Smaïl Niar, Koen De Bosschere:
Optimal sample length for efficient cache simulation. J. Syst. Archit. 51(9): 513-525 (2005) - [c24]Veerle Desmet, Lieven Eeckhout, Koen De Bosschere:
Using Decision Trees to Improve Program-Based and Profile-Based Static Branch Prediction. Asia-Pacific Computer Systems Architecture Conference 2005: 336-352 - [c23]Andy Georges, Lieven Eeckhout, Koen De Bosschere:
Comparing Low-Level Behavior of SPEC CPU and Java Workloads. Asia-Pacific Computer Systems Architecture Conference 2005: 669-679 - [c22]Frederik Vandeputte, Lieven Eeckhout, Koen De Bosschere:
A Detailed Study on Phase Predictors. Euro-Par 2005: 571-581 - [c21]Michael Van Biesbrouck, Lieven Eeckhout, Brad Calder:
Efficient Sampling Startup for Sampled Processor Simulation. HiPEAC 2005: 47-67 - [c20]Dries Buytaert, Kris Venstermans, Lieven Eeckhout, Koen De Bosschere:
Garbage Collection Hints. HiPEAC 2005: 233-248 - [c19]Aashish Phansalkar, Ajay Joshi, Lieven Eeckhout, Lizy Kurian John:
Measuring Program Similarity: Experiments with SPEC CPU Benchmark Suites. ISPASS 2005: 10-20 - [c18]Frederik Vandeputte, Lieven Eeckhout, Koen De Bosschere:
Offline Phase Analysis and Optimization for Multi-configuration Processors. SAMOS 2005: 202-211 - 2004
- [j9]Lieven Eeckhout, Koen De Bosschere:
Efficient architectural design of high performance microprocessors. Adv. Comput. 61: 45-106 (2004) - [j8]Lieven Eeckhout, Koenraad De Bosschere:
How accurate should early design stage power/performance tools be? A case study with statistical simulation. J. Syst. Softw. 73: 45-62 (2004) - [j7]Lieven Eeckhout, Koen De Bosschere:
Efficient simulation of trace samples on parallel machines. Parallel Comput. 30(3): 317-335 (2004) - [j6]Lieven Eeckhout, Koen De Bosschere:
Speeding Up Architectural Simulations for High-Performance Processors. Simul. 80(9): 451-468 (2004) - [c17]Hassan Sbeyti, Smaïl Niar, Lieven Eeckhout:
Adaptive Prefetching for Multimedia Applications in Embedded Systems. DATE 2004: 1350-1351 - [c16]Lieven Eeckhout, Robert H. Bell Jr., Bastiaan Stougie, Koen De Bosschere, Lizy Kurian John:
Control Flow Modeling in Statistical Simulation for Accurate and Efficient Processor Design Studies. ISCA 2004: 350-363 - [c15]Lieven Eeckhout:
Efficient architectural design of high performance microprocessors. ISPASS 2004: 170 - [c14]Dries Buytaert, Andy Georges, Lieven Eeckhout, Koen De Bosschere:
Bottleneck analysis in java applications using hardware performance monitors. OOPSLA Companion 2004: 172-173 - [c13]Andy Georges, Dries Buytaert, Lieven Eeckhout, Koen De Bosschere:
Method-level phase behavior in java workloads. OOPSLA 2004: 270-287 - [c12]Yue Luo, Lizy Kurian John, Lieven Eeckhout:
Self-Monitored Adaptive Cache Warm-Up for Microprocessor Simulation. SBAC-PAD 2004: 10-17 - [c11]Peter Lambert, Lieven Eeckhout, Robbie De Sutter, Koen De Bosschere, Rik Van de Walle:
Low-level behavioral analysis of the JVT/AVC decoder. VCIP 2004 - 2003
- [j5]Lieven Eeckhout, Hans Vandierendonck, Koenraad De Bosschere:
Designing Computer Architecture Research Workloads. Computer 36(2): 65-71 (2003) - [j4]Lieven Eeckhout, Hans Vandierendonck, Koenraad De Bosschere:
Quantifying the Impact of Input Data Sets on Program Behavior and its Applications. J. Instr. Level Parallelism 5 (2003) - [j3]Lieven Eeckhout, Koen De Bosschere:
Quantifying behavioral differences between multimedia and general-purpose workloads. J. Syst. Archit. 48(6-7): 199-220 (2003) - [j2]Lieven Eeckhout, Sébastien Nussbaum, James E. Smith, Koen De Bosschere:
Statistical Simulation: Adding Efficiency to the Computer Designer's Toolbox. IEEE Micro 23(5): 26-38 (2003) - [c10]Lieven Eeckhout, Dirk Stroobandt, Koenraad De Bosschere:
Efficient Microprocessor Design Space Exploration through Statistical Simulatio. Annual Simulation Symposium 2003: 233-240 - [c9]Lieven Eeckhout, Andy Georges, Koenraad De Bosschere:
How java programs interact with virtual machines at the microarchitectural level. OOPSLA 2003: 169-186 - [c8]Smaïl Niar, Lieven Eeckhout, Koenraad De Bosschere:
Comparing Multiported Cache Schemes. PDPTA 2003: 1179-1185 - 2002
- [c7]Lieven Eeckhout, Hans Vandierendonck, Koenraad De Bosschere:
Workload Design: Selecting Representative Program-Input Pairs. IEEE PACT 2002: 83-94 - 2001
- [c6]Lieven Eeckhout, Koenraad De Bosschere:
Hybrid Analytical-Statistical Modeling for Efficiently Exploring Architecture and Workload Design Spaces. IEEE PACT 2001: 25-34 - [c5]Lieven Eeckhout, Koen De Bosschere:
Early design phase power/performance modeling through statistical simulation. ISPASS 2001: 10-17 - 2000
- [j1]Lieven Eeckhout, Henk Neefs, Koen De Bosschere:
Early design stage exploration of fixed-length block structured architectures. J. Syst. Archit. 46(15): 1469-1486 (2000) - [c4]Lieven Eeckhout, Koen De Bosschere, Henk Neefs:
On the Feasibility of Fixed-Length Block Structured Architectures. ACAC 2000: 17-25 - [c3]Lieven Eeckhout, Koen De Bosschere, Henk Neefs:
Performance analysis through synthetic trace generation. ISPASS 2000: 1-6
1990 – 1999
- 1999
- [c2]Lieven Eeckhout, Henk Neefs, Koenraad De Bosschere, Jan Van Campenhout:
Investigating the Implementation of a Block Structured Architecture in an Early Design Stage. EUROMICRO 1999: 1186- - [c1]Lieven Eeckhout, Henk Neefs, Koen De Bosschere:
Estimating IPC of a block structured instruction set architecture in an early design stage. PARCO 1999: 468-475
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-04-18 20:28 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint